Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту


 
Опции темы
Непрочитано 23.07.2018, 14:47  
фёдорыч
Временная регистрация
 
Регистрация: 20.05.2015
Адрес: Бишкек, Киргизия
Сообщений: 59
Сказал спасибо: 22
Сказали Спасибо 3 раз(а) в 3 сообщении(ях)
фёдорыч на пути к лучшему
По умолчанию Quartus, вопрос новичка

День добрый!
Сделал небольшой проект на ЕРМ570, управление адресным счетчиком.
Скомпилировался, в симуляторе все сигналы правильные. Прошиваю CPLD, смотрю сигналы на выходах - один выход триггера инверсный. Ладно, думаю, вставил асинхроный сброс по кнопке - а сигнал все равно инверсный относительно симулятора. Как быть - может в режиме пррограммирования нужно добавить галку на принудительное стирание или еще что-то?
Реклама:
фёдорыч вне форума  
Непрочитано 23.07.2018, 14:58  
Yuri222
Почётный гражданин KAZUS.RU
 
Регистрация: 08.02.2005
Адрес: Минск, Беларусь
Сообщений: 7,760
Сказал спасибо: 2,667
Сказали Спасибо 2,631 раз(а) в 1,945 сообщении(ях)
Yuri222 на пути к лучшему
По умолчанию Re: Quartus, вопрос новичка

фёдорыч, а времянка нормально? Разрядность счетчика, частота?
Файл .sdc написали?
Yuri222 вне форума  
Непрочитано 23.07.2018, 15:00  
Yuri222
Почётный гражданин KAZUS.RU
 
Регистрация: 08.02.2005
Адрес: Минск, Беларусь
Сообщений: 7,760
Сказал спасибо: 2,667
Сказали Спасибо 2,631 раз(а) в 1,945 сообщении(ях)
Yuri222 на пути к лучшему
По умолчанию Re: Quartus, вопрос новичка

Сообщение от фёдорыч Посмотреть сообщение
вставил асинхроный сброс по кнопке - а сигнал все равно инверсный относительно симулятора
Т.е. при резете все остальные разряды нули, а этот - единица?! Разрядность счетчика правильно описали (кол-во бит в счетчике)?
Yuri222 вне форума  
Непрочитано 23.07.2018, 16:04  
фёдорыч
Временная регистрация
 
Регистрация: 20.05.2015
Адрес: Бишкек, Киргизия
Сообщений: 59
Сказал спасибо: 22
Сказали Спасибо 3 раз(а) в 3 сообщении(ях)
фёдорыч на пути к лучшему
По умолчанию Re: Quartus, вопрос новичка

Сообщение от Yuri222 Посмотреть сообщение
Файл .sdc написали?
Это мой первый проект в Quartus, я даже не знаю что это и нужен ли он.
Есть 50MSPS ADC - AD9226, есть плата с stm32f407. Для связи между ними организовал буф.ОЗУ. Есть в наличии ОЗУ (55ns), поэтому пока частота для АЦП 12,5 MHz.
Проект в виде эл. схемы (bdf, так кажется). Я прикреплю проект, там сигнал nCS_RAM ведет себя инверсно по отношению к симулятору.
Вложения:
Тип файла: rar ADC_V3.rar (253.6 Кб, 0 просмотров)
фёдорыч вне форума  
Непрочитано 23.07.2018, 16:35  
Yuri222
Почётный гражданин KAZUS.RU
 
Регистрация: 08.02.2005
Адрес: Минск, Беларусь
Сообщений: 7,760
Сказал спасибо: 2,667
Сказали Спасибо 2,631 раз(а) в 1,945 сообщении(ях)
Yuri222 на пути к лучшему
По умолчанию Re: Quartus, вопрос новичка

Сообщение от фёдорыч Посмотреть сообщение
nCS_RAM
буква n обычно показывает, что этот сигнал - инверсный, т.е. в активном состоянии (для выбора микросхемы) он должен иметь лог. 0.
Архив не открывается - типа поврежден или неизвестный формат.
Так какой сигнал ведет себя инверсно - этот nCS_RAM? Т.е. если reset держать кнопкой - он в 0?

Да, я с картинками не работал, пишу текстом...
Yuri222 вне форума  
Непрочитано 23.07.2018, 16:45  
Yuri222
Почётный гражданин KAZUS.RU
 
Регистрация: 08.02.2005
Адрес: Минск, Беларусь
Сообщений: 7,760
Сказал спасибо: 2,667
Сказали Спасибо 2,631 раз(а) в 1,945 сообщении(ях)
Yuri222 на пути к лучшему
По умолчанию Re: Quartus, вопрос новичка

Сообщение от фёдорыч Посмотреть сообщение
я даже не знаю что это и нужен ли он
Почитайте
http://caxapa.ru/thumbs/442268/TimeQ...or_dummies.pdf

Когда это осилите - поищите того же автора статья в 4-х частях, там про то же самое, но есть мелкие интересные подробности, с которыми на будущее стоит познакомиться.

Но на 12 МГц - особо можно не заморачиваться. Посмотрите в отчете, какая максимальная частота клока - думаю, Квартус сообщил, что получилось намного больше этих 12 МГц.
Yuri222 вне форума  
Непрочитано 23.07.2018, 17:34  
фёдорыч
Временная регистрация
 
Регистрация: 20.05.2015
Адрес: Бишкек, Киргизия
Сообщений: 59
Сказал спасибо: 22
Сказали Спасибо 3 раз(а) в 3 сообщении(ях)
фёдорыч на пути к лучшему
По умолчанию Re: Quartus, вопрос новичка

Сообщение от Yuri222 Посмотреть сообщение
Т.е. если reset держать кнопкой - он в 0?
Если держать кнопку нажатой - он в 1, но т.к. асинхронный сброс завел еще и на сброс адресного счетчика то схема не работает и я не могу посмотреть ЛА состояние др. выводов.
По заданной логике работы nCS_RAM постоянно долбится в ОЗУ и в нулевую ячейку памяти заносятся данные АЦП. Когда приходит запрос данных, вкл. счетчик адреса и данные заносятся последовательно в память. При достижении заданного адреса устанавливается вот этот "неправильный" триггер, nCS_RAM -› 1 и выставляется сигнал data_ready. А по установке этого сигнала МК организовывает чтение из ОЗУ.
А сейчас nCS_RAM начинает дрыгаться только после установки этого триггера, т.е. все наоборот, - но ведь симулятор показывает правильное действие, и тут я ох... как такое происходит.
Упаковал в zip, может прочитается.
За ссылку спасибо поштудирую.
Вложения:
Тип файла: zip ADC_V3.zip (305.1 Кб, 0 просмотров)
фёдорыч вне форума  
Непрочитано 23.07.2018, 18:14  
Yuri222
Почётный гражданин KAZUS.RU
 
Регистрация: 08.02.2005
Адрес: Минск, Беларусь
Сообщений: 7,760
Сказал спасибо: 2,667
Сказали Спасибо 2,631 раз(а) в 1,945 сообщении(ях)
Yuri222 на пути к лучшему
По умолчанию Re: Quartus, вопрос новичка

Неправильно создали клок для АДЦ - через цепочку последовательно соединенных триггеров - так категорически нельзя!!!
Вернее, как бы и можно, но Вы должны потом подать этот клок на глобальную цепь трассировки клока внутри кристалла, об этом квартус не знает, и разводит этот сигнал как обычный логический, и он на клоковые входы триггеров счетчика придет абы как, с разными задержками, и считать тот счетчик может абы как, да и логики там на клоке еще тележка.
Почитайте Шехалева.
И лучше ИМХО все это добро описать на каком Верилоге - почитайте Каршенбойма "Краткий курс HDL-кодирования" http://iosifk.narod.ru/articles.html
Это намного проще, чем схемы рисовать.
Yuri222 вне форума  
Непрочитано 23.07.2018, 18:24  
Yuri222
Почётный гражданин KAZUS.RU
 
Регистрация: 08.02.2005
Адрес: Минск, Беларусь
Сообщений: 7,760
Сказал спасибо: 2,667
Сказали Спасибо 2,631 раз(а) в 1,945 сообщении(ях)
Yuri222 на пути к лучшему
По умолчанию Re: Quartus, вопрос новичка

Сообщение от фёдорыч Посмотреть сообщение
т.к. асинхронный сброс завел еще и на сброс адресного счетчика то схема не работает и я не могу посмотреть ЛА состояние др. выводов.
ЛА - это встроенный квартусовский анализатор?
Затактируйте его от входного 50 МГц клока - и все будете видеть.
Yuri222 вне форума  
Непрочитано 23.07.2018, 18:29  
Yuri222
Почётный гражданин KAZUS.RU
 
Регистрация: 08.02.2005
Адрес: Минск, Беларусь
Сообщений: 7,760
Сказал спасибо: 2,667
Сказали Спасибо 2,631 раз(а) в 1,945 сообщении(ях)
Yuri222 на пути к лучшему
По умолчанию Re: Quartus, вопрос новичка

Сообщение от фёдорыч Посмотреть сообщение
симулятор показывает правильное действие, и тут я ох... как такое происходит
Симулятор - логический или реальный (с учетом задержек)? Подозреваю - логический, т.е. учитывает только логику функционирования схемы, без учета задержек.
Тогда может показывать красиво, а на десятке МГц из-за задержек может твориться что угодно, да еще "иголки" могут формироваться и сбивать работу схемы.
Yuri222 вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Интересный вопрос - два усилителя к одной нагрузке eCAH Аудиотехника 45 14.10.2010 01:23
вопрос из детства spilberg Отвлекитесь, эмбеддеры! 9 03.07.2009 14:01
Вопрос по инвертору для LСD voha2006 Ремонт оргтехники 15 25.04.2009 09:57
AVR mikesmith Коллекция глюков 23 03.03.2007 15:06


Часовой пояс GMT +4, время: 23:50.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot