Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Proteus, KiCAD и другие ECAD Разработчик так или иначе сталкивается с системами автоматизированного проектирования. Данный раздел - по САПР.

 
Опции темы
Непрочитано 04.04.2021, 11:45  
цифровик
Почётный гражданин KAZUS.RU
 
Регистрация: 27.02.2012
Сообщений: 5,811
Сказал спасибо: 827
Сказали Спасибо 2,080 раз(а) в 1,493 сообщении(ях)
цифровик на пути к лучшему
По умолчанию Re: Cимулятор SimuIIDE

Fizik_S,

Хорошо отрылась эта последняя версия перед окончательной. Все модели новые встали в нее. Будем потихоньку тестировать. Периодически буду давать отчеты для разработчиков.
Спасибо.
__________________
С Уважением Александр Владимирович
г. Москва
цифровик вне форума  
Непрочитано 04.04.2021, 11:52  
Fizik_S
Прописка
 
Аватар для Fizik_S
 
Регистрация: 24.04.2008
Адрес: г. Клинцы, Брянская обл.
Сообщений: 140
Сказал спасибо: 37
Сказали Спасибо 74 раз(а) в 65 сообщении(ях)
Fizik_S на пути к лучшему
По умолчанию Re: Cимулятор SimuIIDE

цифровик, Автор программы включил вас список активных помощников программы (см. диалог "О программе") за тестирование компонентов, выявление ошибок, советы, популяризацию программы. Так что принимайте поздравления!
__________________
С уважением, Роенко С.А., Клинцы.

Последний раз редактировалось Fizik_S; 04.04.2021 в 17:19.
Fizik_S вне форума  
Сказали "Спасибо" Fizik_S
цифровик (04.04.2021)
Непрочитано 04.04.2021, 12:54  
цифровик
Почётный гражданин KAZUS.RU
 
Регистрация: 27.02.2012
Сообщений: 5,811
Сказал спасибо: 827
Сказали Спасибо 2,080 раз(а) в 1,493 сообщении(ях)
цифровик на пути к лучшему
По умолчанию Re: Cимулятор SimuIIDE

Fizik_S,
Мне просто интересна эта программа самому с самого начала была. Когда фактически в ней нечего не было. Хорошо редактируется логика по уровням. А сейчас очень большая база по цифре. Так что работать на ней можно. Тут уже небольшую ошибку по одной важной модели нашел. Но это потом я сообщу. Надо еще разобраться. А именно
с четырех разрядным десятичным динамическим счетчиком. Что то считает только один младший разряд до 9. Мне надо суммировать импульсы в одной схеме.
__________________
С Уважением Александр Владимирович
г. Москва
цифровик вне форума  
Непрочитано 04.04.2021, 17:24  
цифровик
Почётный гражданин KAZUS.RU
 
Регистрация: 27.02.2012
Сообщений: 5,811
Сказал спасибо: 827
Сказали Спасибо 2,080 раз(а) в 1,493 сообщении(ях)
цифровик на пути к лучшему
По умолчанию Re: Cимулятор SimuIIDE

По последней версии 0.4.15-Final :
Первые нерабочие модели 74С925 (счетчик до 9999) остальные 926 и 927 с выходами переноса так же не рабочие. И Энкодер KY040. Во всех предыдущих версиях эти модели работают. По энкодеру скину картинку как он должен работать с индикацией направления вращения из предыдущей версии и модель из этой.
__________________
С Уважением Александр Владимирович
г. Москва

Последний раз редактировалось цифровик; 18.10.2022 в 10:06.
цифровик вне форума  
Непрочитано 04.04.2021, 17:45  
цифровик
Почётный гражданин KAZUS.RU
 
Регистрация: 27.02.2012
Сообщений: 5,811
Сказал спасибо: 827
Сказали Спасибо 2,080 раз(а) в 1,493 сообщении(ях)
цифровик на пути к лучшему
По умолчанию Re: Cимулятор SimuIIDE

По энкодеру KY040

Вот так он должен работать.
__________________
С Уважением Александр Владимирович
г. Москва

Последний раз редактировалось цифровик; 18.10.2022 в 10:06.
цифровик вне форума  
Непрочитано 05.04.2021, 09:45  
цифровик
Почётный гражданин KAZUS.RU
 
Регистрация: 27.02.2012
Сообщений: 5,811
Сказал спасибо: 827
Сказали Спасибо 2,080 раз(а) в 1,493 сообщении(ях)
цифровик на пути к лучшему
По умолчанию Re: Cимулятор SimuIIDE

Выборочное тестирование счетной логики.
Трех разрядный реверсивный десятичный счетчик СD40110 с удержанием показаний и тест аналогово ключа СD4066 ( 564КТ3) в управлении режимом прямого и обратного счета. Удержание показаний - лог 1. Пока не переведете в лог. 0 - Сброс не активный.
Модели хорошо работают. Очень удобные счетчики в построении счетных декад. Индикаторы с общим катодом.
__________________
С Уважением Александр Владимирович
г. Москва

Последний раз редактировалось цифровик; 18.10.2022 в 10:06.
цифровик вне форума  
Непрочитано 05.04.2021, 11:26  
цифровик
Почётный гражданин KAZUS.RU
 
Регистрация: 27.02.2012
Сообщений: 5,811
Сказал спасибо: 827
Сказали Спасибо 2,080 раз(а) в 1,493 сообщении(ях)
цифровик на пути к лучшему
По умолчанию Re: Cимулятор SimuIIDE

Тест микросхемы 4х сегментного ЖКИ драйвера CD4054 (564УМ1) Формирование двуполярных выходных импульсов по уровням 0т -5в до 5в. со стробированием. Просто отрубает постоянную составляющую на сегменты ЖКИ, что и требуется для их правильного функционирования.
Модель работает хорошо.
__________________
С Уважением Александр Владимирович
г. Москва

Последний раз редактировалось цифровик; 18.10.2022 в 10:06.
цифровик вне форума  
Непрочитано 06.04.2021, 06:33  
цифровик
Почётный гражданин KAZUS.RU
 
Регистрация: 27.02.2012
Сообщений: 5,811
Сказал спасибо: 827
Сказали Спасибо 2,080 раз(а) в 1,493 сообщении(ях)
цифровик на пути к лучшему
По умолчанию Re: Cимулятор SimuIIDE

Следующие тесты будут по аналоговым ключам типа DG401.403.405
Это уже другой тип ключей. В предыдущих версиях они работали без сбоев программ.
__________________
С Уважением Александр Владимирович
г. Москва

Последний раз редактировалось цифровик; 18.10.2022 в 10:06.
цифровик вне форума  
Непрочитано 06.04.2021, 07:02  
цифровик
Почётный гражданин KAZUS.RU
 
Регистрация: 27.02.2012
Сообщений: 5,811
Сказал спасибо: 827
Сказали Спасибо 2,080 раз(а) в 1,493 сообщении(ях)
цифровик на пути к лучшему
По умолчанию Re: Cимулятор SimuIIDE

Прошу прощения по предыдущему посту по моделированию 74НС4051.
Не поставил развязывающую емкость по входу на синусе. Вот по этому и прога слетала. Теперь моделирование верное и без сбоев. Теперь программа обрабатывает внутреннее сопротивление открытого ключа. Однако в модели на выходе идет небольшой звон в ключе. Но это опять не из за модели а качестве синуса от генератора. У него ступеньки видно. Я удалил тот пост.
__________________
С Уважением Александр Владимирович
г. Москва

Последний раз редактировалось цифровик; 18.10.2022 в 10:06.
цифровик вне форума  
Непрочитано 06.04.2021, 08:00  
цифровик
Почётный гражданин KAZUS.RU
 
Регистрация: 27.02.2012
Сообщений: 5,811
Сказал спасибо: 827
Сказали Спасибо 2,080 раз(а) в 1,493 сообщении(ях)
цифровик на пути к лучшему
По умолчанию Re: Cимулятор SimuIIDE

Пока в программе на синусе работать нельзя. Надо на генераторе делать фильтрацию ступенек. Там есть качество синуса, но оно не работает. С увеличением частоты ступеньки увеличиваются.
А вообщем генератор надо переделывать в корне. Он работает только по прямоугольнику нормально. Программа вообще понятно что для моделирования цифры. Но на цифре есть и аналоговые узлы при построении схем. Вообще если правильно, делать генератор синуса должен иметь внизу свой пин земли и именно аналоговой. С цифровой землей он соединяется только у источника питания. В нормальных программах есть питание цифровое и аналоговое со своими землями и это правильно.
__________________
С Уважением Александр Владимирович
г. Москва

Последний раз редактировалось цифровик; 18.10.2022 в 10:06.
цифровик вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход


Часовой пояс GMT +4, время: 15:30.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot