Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту


 
Опции темы
Непрочитано 01.07.2014, 13:29  
void F()
Частый гость
 
Регистрация: 22.12.2013
Сообщений: 37
Сказал спасибо: 7
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
void F() на пути к лучшему
По умолчанию Посоветуйте ПЛИС

Добрый день, уважаемые.
Можете посоветовать ПЛИС, желательно от Altera, у которой I/O ›=138 и корпус QFP. Ее задачей будет коммутация нескольких шин ~80-100MHz и еще пара служебных функций, взаимодействующих с шинами.
Все затруднение в выборе составляют параметры: tPD, Speed Grade.
К примеру, tPD = 7ns, значит каждый I/O может "дергаться" с 142MHz? Как учесть, что лог. ячейки то же задерживают сигнал. Если у меня в ПЛИС будут построены несколько мультиплексоров и латчей, что тогда останется от этих 142MHz?
Заранее спасибо.

PS: Пока склоняюсь к EPM3256A в PQFP-208.
Реклама:
void F() вне форума  
Непрочитано 01.07.2014, 13:43  
pambaru
Почётный гражданин KAZUS.RU
 
Регистрация: 24.03.2007
Сообщений: 1,329
Сказал спасибо: 85
Сказали Спасибо 595 раз(а) в 361 сообщении(ях)
pambaru на пути к лучшему
По умолчанию Re: Посоветуйте ПЛИС

Сообщение от void F() Посмотреть сообщение
Ее задачей будет коммутация нескольких шин ~80-100MHz и еще пара служебных функций
...
Если у меня в ПЛИС будут построены несколько мультиплексоров и латчей, что тогда останется от этих 142MHz?
Построение простого мультиплексора шин на ПЛИС на такой частоте, скорее всего, будет обречено на провал. На ПЛИС нужно делать синхронные проекты. Кроме задержек сигналов (причем совершенно различных) там повылазят еще всякие выбросы.
pambaru вне форума  
Непрочитано 01.07.2014, 14:02  
void F()
Частый гость
 
Регистрация: 22.12.2013
Сообщений: 37
Сказал спасибо: 7
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
void F() на пути к лучшему
По умолчанию Re: Посоветуйте ПЛИС

Сообщение от pambaru Посмотреть сообщение
Построение простого мультиплексора шин на ПЛИС на такой частоте, скорее всего, будет обречено на провал. На ПЛИС нужно делать синхронные проекты. Кроме задержек сигналов (причем совершенно различных) там повылазят еще всякие выбросы.
Спасибо за ответ.
А если, к примеру, так: имеются два режима работы:
  • A - Шина 1 подключена напрямую к шинам 2 и 3. (на пути ничего нет)
  • Б - Шина 1 никуда не подключена или подключена к регистрам ПЛИС. Шина 2 напрямую подключена к шине 3.
Возможно ли это как-то реализовать? (на синхронных регистрах)

Последний раз редактировалось void F(); 01.07.2014 в 14:49.
void F() вне форума  
Непрочитано 01.07.2014, 15:34  
pambaru
Почётный гражданин KAZUS.RU
 
Регистрация: 24.03.2007
Сообщений: 1,329
Сказал спасибо: 85
Сказали Спасибо 595 раз(а) в 361 сообщении(ях)
pambaru на пути к лучшему
По умолчанию Re: Посоветуйте ПЛИС

Если передача данных идет в одну сторону, то можно пропустить сигналы через FIFO, регистры и т.п., и с некоторой задержкой (в несколько тактов системной частоты) передать на выход. Если же передача данных по шинам двусторонняя, то, скорее всего, придется на плис городить "умный" контроллер, который будет посредником в общении между шинами.
pambaru вне форума  
Непрочитано 01.07.2014, 15:42  
void F()
Частый гость
 
Регистрация: 22.12.2013
Сообщений: 37
Сказал спасибо: 7
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
void F() на пути к лучшему
По умолчанию Re: Посоветуйте ПЛИС

Сообщение от pambaru Посмотреть сообщение
Если передача данных идет в одну сторону, то можно пропустить сигналы через FIFO, регистры и т.п., и с некоторой задержкой (в несколько тактов системной частоты) передать на выход. Если же передача данных по шинам двусторонняя, то, скорее всего, придется на плис городить "умный" контроллер, который будет посредником в общении между шинами.
Мда... Проблема. Передача двусторонняя и все шины асинхронные.
Тогда, есть ли специализированные микросхемы для этого? Подошло бы что-то наподобие многоуровнего DMA.
void F() вне форума  
Непрочитано 01.07.2014, 16:13  
niXto
Почётный гражданин KAZUS.RU
 
Аватар для niXto
 
Регистрация: 13.10.2007
Адрес: Беларусь
Сообщений: 8,048
Сказал спасибо: 60
Сказали Спасибо 3,954 раз(а) в 2,309 сообщении(ях)
niXto на пути к лучшему
По умолчанию Re: Посоветуйте ПЛИС

По-хорошему, нужно переходить на лвдс... Полно лвдс-коммутаторов под любую задачу

На ТТЛ-уровнях и даже 3,3 В про 100 МГц лучше не вспоминать
niXto вне форума  
Непрочитано 01.07.2014, 16:45  
void F()
Частый гость
 
Регистрация: 22.12.2013
Сообщений: 37
Сказал спасибо: 7
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
void F() на пути к лучшему
По умолчанию Re: Посоветуйте ПЛИС

Сообщение от niXto Посмотреть сообщение
По-хорошему, нужно переходить на лвдс... Полно лвдс-коммутаторов под любую задачу

На ТТЛ-уровнях и даже 3,3 В про 100 МГц лучше не вспоминать
Думаете? Там расстояние между передающими частями небольшое (70мм), все на одной плате.

Последний раз редактировалось void F(); 01.07.2014 в 16:55. Причина: Упс. ноликом ошибся :)
void F() вне форума  
Непрочитано 01.07.2014, 16:51  
niXto
Почётный гражданин KAZUS.RU
 
Аватар для niXto
 
Регистрация: 13.10.2007
Адрес: Беларусь
Сообщений: 8,048
Сказал спасибо: 60
Сказали Спасибо 3,954 раз(а) в 2,309 сообщении(ях)
niXto на пути к лучшему
По умолчанию Re: Посоветуйте ПЛИС

70 мм?
Осциллограф, что ли?
Так если какой-то модуль будет читать шину на низкой скорости, то его можно тупо подключить через 1 кОм. А 3 состояния имеют как АЦП, так и память
niXto вне форума  
Непрочитано 01.07.2014, 17:03  
void F()
Частый гость
 
Регистрация: 22.12.2013
Сообщений: 37
Сказал спасибо: 7
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
void F() на пути к лучшему
По умолчанию Re: Посоветуйте ПЛИС

Сообщение от niXto Посмотреть сообщение
Так если какой-то модуль будет читать шину на низкой скорости, то его можно тупо подключить через 1 кОм. А 3 состояния имеют как АЦП, так и память
Да это понятное дело. Тут переключатель шин нужен для возможности существования второго Master-а, которым и будет являться ПЛИС, а также потоково изменять поступающие данные. (с этим проблем не возникнет)
Но суть не в этом. Меня интересуют потери скорости на регистрах ПЛИС, обеспечение синхронности (если потери скорости небольшие, то это отпадает).
void F() вне форума  
Непрочитано 01.07.2014, 17:11  
void F()
Частый гость
 
Регистрация: 22.12.2013
Сообщений: 37
Сказал спасибо: 7
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
void F() на пути к лучшему
По умолчанию Re: Посоветуйте ПЛИС

Кстати, пульнул сейчас линию 100MHz через EPM570 с защелкой (без оптимизации) - отставание 8ns.
Если смотреть в документацию этой ПЛИС, то это значение совпадает с параметром tPD.
void F() вне форума  
 

Закладки

Метки
cpld, speed
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
MATLAB + ПЛИС + АЦП MSMC Цифровые сигнальные процессоры 9 28.06.2014 13:45
Литература по микроконтроллерам (AVR, PIC, ПЛИС и т.д.). Сборка книг - (256 книг+ 27 CD c примерами из книг) [обновление 2011, PDF, DJVU] yurinform Микроконтроллеры, АЦП, память и т.д 5 05.07.2011 19:00
ПЛИС Xilinx и утилита IMPACT Андрей К Микроконтроллеры, АЦП, память и т.д 4 19.08.2010 10:29
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30
посоветуйте микросхему АЦП lukrom Микроконтроллеры, АЦП, память и т.д 3 01.02.2008 14:02


Часовой пояс GMT +4, время: 03:07.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot