Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту


 
Опции темы
Непрочитано 05.09.2015, 18:43  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию FIFO (CPLD+SRAM)

Доброго времени суток уважаемые!!!
Есть задача построить модуль FIFO на связке CPLD+SRAM. Это образно говоря решение будет использоваться как простое FIFO для передачи данных в микроконтроллер. Мозги кипят и требуется помощь знающих людей которые смогут помочь разобраться в проблеме.
Вопрос коммутационного плана на выложенной схеме дело в том что мк и плис работают на разных тактовых частотах и тут то и проблема.
Я думаю так сделать:
Данные со входа IN_DATA[15..0] поступают во внешнюю sram и там фиксируются сигналом (wd_sram) по адресу схемы которая тут не представлена в принципе это не важно. Начало работы начинается с того что мк подаёт запрос на то чтоб данные записались в регистр (inst5) сигналом (request) и если sram находится в режиме чтения то данные записываются в регистр при этом выставляется флаг регистр загружен -(confirmation) и сбрасывается регистр запроса на запись данных из sram. По приходу следующего запроса записи из sram в регистр (inst5) флаг (confirmation) сбрасывается в ноль и мк ожидает загрузки регистра (inst5) из него потом сдвиговым регистром будут выгружены данные в мк. Так я предположил выйти из проблемы пересечения клоковых доменов асинхронной фифо. Подскажите в правильном ли я направлении двигаюсь?
Вложения:
Тип файла: pdf FIFO.pdf (1.19 Мб, 61 просмотров)
Реклама:
__________________
Я бы изменил весь мир, но бог не дал исходников
Uragan90 вне форума  
Непрочитано 05.09.2015, 19:56  
ForcePoint
Почётный гражданин KAZUS.RU
 
Регистрация: 20.03.2007
Адрес: "Братское кольцо враждебности", т.е. ближайшее заМКАДье.
Сообщений: 6,784
Сказал спасибо: 2,911
Сказали Спасибо 3,119 раз(а) в 2,110 сообщении(ях)
ForcePoint на пути к лучшему
По умолчанию Re: FIFO (CPLD+SRAM)

Без двухпортовки-то - всё равно никуда. Пока МК будет читать - писать не сможете - потеря данных.
ForcePoint вне форума  
Сказали "Спасибо" ForcePoint
Uragan90 (05.09.2015)
Непрочитано 05.09.2015, 20:08  
Easyrider83
Гуру портала
 
Аватар для Easyrider83
 
Регистрация: 27.10.2008
Адрес: ЕС
Сообщений: 10,835
Сказал спасибо: 918
Сказали Спасибо 4,308 раз(а) в 2,573 сообщении(ях)
Easyrider83 на пути к лучшему
По умолчанию Re: FIFO (CPLD+SRAM)

В данном случае лучше начать с самого начала. Что именно требуется реализовать в конечном итоге?
Easyrider83 вне форума  
Сказали "Спасибо" Easyrider83
Uragan90 (05.09.2015)
Непрочитано 05.09.2015, 20:14  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: FIFO (CPLD+SRAM)

Сообщение от Easyrider83 Посмотреть сообщение
В данном случае лучше начать с самого начала. Что именно требуется реализовать в конечном итоге?
Есть FPGA+внешняя SRAM. Нужно реализовать FIFO буфер на этой связке.
__________________
Я бы изменил весь мир, но бог не дал исходников
Uragan90 вне форума  
Непрочитано 05.09.2015, 20:17  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: FIFO (CPLD+SRAM)

Сообщение от ForcePoint Посмотреть сообщение
Без двухпортовки-то - всё равно никуда. Пока МК будет читать - писать не сможете - потеря данных.
Двух портовка это что? Я могу разделить в срам данные и адрес на 2 независимых порта. Использую CY7C1041DV33 в качестве срам, а интересует только 8 бит
__________________
Я бы изменил весь мир, но бог не дал исходников
Uragan90 вне форума  
Непрочитано 05.09.2015, 21:16  
ForcePoint
Почётный гражданин KAZUS.RU
 
Регистрация: 20.03.2007
Адрес: "Братское кольцо враждебности", т.е. ближайшее заМКАДье.
Сообщений: 6,784
Сказал спасибо: 2,911
Сказали Спасибо 3,119 раз(а) в 2,110 сообщении(ях)
ForcePoint на пути к лучшему
По умолчанию Re: FIFO (CPLD+SRAM)

Сообщение от Uragan90 Посмотреть сообщение
Есть FPGA+внешняя SRAM. Нужно реализовать FIFO буфер на этой связке.
С какой целью-то? Если денег съэкономить взяв (условно) ЦПЛД и ОЗУ по два бакса каждая, то не выйдет.
Сообщение от Uragan90 Посмотреть сообщение
Двух портовка это что? Я могу разделить в срам данные и адрес на 2 независимых порта. Использую CY7C1041DV33 в качестве срам, а интересует только 8 бит
Они у неё и так разделены. Проблема в том, что ШД одна. Пока будете считывать данные в МК - входные данные записать не сможете.
А если брать двухпортовое ОЗУ (с двумя ШД), то уже вылетаете на тот-же уровень денег, что можно купить сразу FIFO без всякого цирка.
Можно, конечно, два банка сделать и писать/читать попеременно. Некий выигрыш может иметь место (надо только ещё посчитать стоимость лишних см печатки, точек пайки, написания прошивки ЦПЛД и её программирования).

Какой объём-то нужен? Если именно такой большой, как у 7C1041, то покувыркайтесь. А если намного меньше (ИМС выбрана потому, что меньшие объёмы щас редки и не намного дешевле), то, может и один корпус FIFO встанет в приемлемую цену.

А если ФПГА, а не ЦПЛД, то там и встроенная двухпортовая память найдётся.
ForcePoint вне форума  
Непрочитано 05.09.2015, 21:27  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: FIFO (CPLD+SRAM)

Сообщение от ForcePoint Посмотреть сообщение
С какой целью-то? Если денег съэкономить взяв (условно) ЦПЛД и ОЗУ по два бакса каждая, то не выйдет.

Они у неё и так разделены. Проблема в том, что ШД одна. Пока будете считывать данные в МК - входные данные записать не сможете.
А если брать двухпортовое ОЗУ (с двумя ШД), то уже вылетаете на тот-же уровень денег, что можно купить сразу FIFO без всякого цирка.
Можно, конечно, два банка сделать и писать/читать попеременно. Некий выигрыш может иметь место (надо только ещё посчитать стоимость лишних см печатки, точек пайки, написания прошивки ЦПЛД и её программирования).

Какой объём-то нужен? Если именно такой большой, как у 7C1041, то покувыркайтесь. А если намного меньше (ИМС выбрана потому, что меньшие объёмы щас редки и не намного дешевле), то, может и один корпус FIFO встанет в приемлемую цену.

А если ФПГА, а не ЦПЛД, то там и встроенная двухпортовая память найдётся.
Мне и не нужно одновременное чтение и запись! Мне нужно чтоб запись была в приоритете к чтению!
Вот картинка вдохновившая на постройку такого псевдо-фифо.
Миниатюры:
Нажмите на изображение для увеличения
Название: 2345202052-1.jpg
Просмотров: 59
Размер:	87.4 Кб
ID:	82990  
__________________
Я бы изменил весь мир, но бог не дал исходников
Uragan90 вне форума  
Непрочитано 05.09.2015, 21:46  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: FIFO (CPLD+SRAM)

Работать будет, но лучше перевести схему на синхронный режим работы. При этом уйдут проблемы синхронизации.
__________________
misterdi<@>i.ua
MisterDi вне форума  
Сказали "Спасибо" MisterDi
Uragan90 (05.09.2015)
Непрочитано 05.09.2015, 21:50  
ForcePoint
Почётный гражданин KAZUS.RU
 
Регистрация: 20.03.2007
Адрес: "Братское кольцо враждебности", т.е. ближайшее заМКАДье.
Сообщений: 6,784
Сказал спасибо: 2,911
Сказали Спасибо 3,119 раз(а) в 2,110 сообщении(ях)
ForcePoint на пути к лучшему
По умолчанию Re: FIFO (CPLD+SRAM)

Сообщение от Uragan90 Посмотреть сообщение
Мне и не нужно одновременное чтение и запись!
Чего кричишь? В первом посте трудно написать было?
ForcePoint вне форума  
Непрочитано 05.09.2015, 21:52  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: FIFO (CPLD+SRAM)

Сообщение от MisterDi Посмотреть сообщение
Работать будет, но лучше перевести схему на синхронный режим работы. При этом уйдут проблемы синхронизации.
С синхронизацией здесь как раз полная засада! Вот вы знающий человек, ведь это возможно реализовать фифо на плис+срам? Ну пусь не реальное фифо но его подобие.
__________________
Я бы изменил весь мир, но бог не дал исходников
Uragan90 вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
CC1101 нет связи ivan.kob Автоматика и аппаратура связи 12 24.04.2014 18:51
VGA, AVR, SRAM... (вывод видеопамяти на экран) JerryI AVR 13 02.07.2013 17:07
На WinAVR + AVR Studio c CVAVR Vetal-soft Embedd С 87 03.03.2013 20:31
память SRAM ATmega после reset avrman Микроконтроллеры, АЦП, память и т.д 3 10.03.2010 14:32
схемный редактор для CPLD Сypress oscill Proteus, KiCAD и другие ECAD 0 21.07.2009 13:25


Часовой пояс GMT +4, время: 23:26.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot