Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Электроника - это просто Теоретические и практические вопросы для начинающих электронщиков.

 
Опции темы
Непрочитано 16.05.2017, 23:38  
Maddocrus
Частый гость
 
Регистрация: 16.05.2017
Сообщений: 12
Сказал спасибо: 1
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
Maddocrus на пути к лучшему
По умолчанию зажеч светодиод или в чем логика НЕ

Как правильно подключать ТТЛ входы и выходы, особенно в протеусе?
В первом случае понятно идет низкий уровень диод не должен гореть но напряжения нет вообще 0V. А вот во втором случае, как сделать так что если нет сигнала от VCC то светодиод горит.
Миниатюры:
Нажмите на изображение для увеличения
Название: НЕ.png
Просмотров: 0
Размер:	9.1 Кб
ID:	114455   Нажмите на изображение для увеличения
Название: Не2.png
Просмотров: 0
Размер:	9.0 Кб
ID:	114456  
Реклама:
Maddocrus вне форума  
Непрочитано 17.05.2017, 00:06  
ForcePoint
Почётный гражданин KAZUS.RU
 
Регистрация: 20.03.2007
Адрес: "Братское кольцо враждебности", т.е. ближайшее заМКАДье.
Сообщений: 6,784
Сказал спасибо: 2,911
Сказали Спасибо 3,118 раз(а) в 2,109 сообщении(ях)
ForcePoint на пути к лучшему
Стрелка Re: зажеч светодиод или в чем логика НЕ

Не оставлять вход не подключенным?

Студент на нересте, что-ль?
__________________
Экзорцист 40-го уровня.
ForcePoint вне форума  
Непрочитано 17.05.2017, 00:16  
Maddocrus
Частый гость
 
Регистрация: 16.05.2017
Сообщений: 12
Сказал спасибо: 1
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
Maddocrus на пути к лучшему
По умолчанию Re: зажеч светодиод или в чем логика НЕ

Студент заочник на нересте, все верно Пытаюсь сделать Автоматический поиск неисправностей с помощью Нестандартного дешифратора. Как тогда инвертироваться? Вот вся схема. Тугой жутко но стараюсь.
Миниатюры:
Нажмите на изображение для увеличения
Название: Дешифратор.JPG
Просмотров: 0
Размер:	310.6 Кб
ID:	114457  
Maddocrus вне форума  
Непрочитано 17.05.2017, 07:15  
true71
Почётный гражданин KAZUS.RU
 
Аватар для true71
 
Регистрация: 23.09.2008
Адрес: Козьмодемьянск. Это на Волге.
Сообщений: 2,370
Сказал спасибо: 5,522
Сказали Спасибо 1,042 раз(а) в 599 сообщении(ях)
true71 на пути к лучшему
По умолчанию Re: зажеч светодиод или в чем логика НЕ

Если это реально "сухой контакт" (это так называют контакты реле, переключателей и т.д.), то достаточно поставить резистор со входа элемента на "минус". Резистор для ТТЛ - 1-10 кОм (для старинных - 1 кОм, для более новых - 10 кОм, определяется по "даташиту" по параметру "входной ток "0" элемента"), для КМОП - 10-1000 кОм.
ForcePoint уже пытался это сказать, но более обтекаемо ))
true71 вне форума  
Непрочитано 17.05.2017, 14:38  
zaleks_ru
Частый гость
 
Регистрация: 16.05.2007
Сообщений: 17
Сказал спасибо: 3
Сказали Спасибо 19 раз(а) в 3 сообщении(ях)
zaleks_ru на пути к лучшему
По умолчанию Re: зажеч светодиод или в чем логика НЕ

Сообщение от Maddocrus Посмотреть сообщение
Как правильно подключать ТТЛ входы и выходы, особенно в протеусе?
В первом случае понятно идет низкий уровень диод не должен гореть но напряжения нет вообще 0V. А вот во втором случае, как сделать так что если нет сигнала от VCC то светодиод горит.
Поскольку выходы ТТЛ имеют малую нагрузочную способность при логической "1" (высокий уровень на выходе) - т.е. малый допустимый ток нагрузки.
И большую нагрузочную способность при логическом "0" (низкий уровень на выходе) - т.е. большой допустимый ток нагрузки.
То, правильное подключение светодиода на выход ТТЛ - минус светодиода на выход ТТЛ, плюс светодиода через резистор на шину питания.
Входом микросхемы проще управлять, подключая его через выключатель к "0" питания, сам вход при этом должен быть подключен через резистор к шине питания.
Тогда соблюдается необходимая Вам логика работы: Выключатель выключен - светодиод горит; выключатель включен - светодиод не горит.
zaleks_ru вне форума  
Непрочитано 17.05.2017, 14:44  
zaleks_ru
Частый гость
 
Регистрация: 16.05.2007
Сообщений: 17
Сказал спасибо: 3
Сказали Спасибо 19 раз(а) в 3 сообщении(ях)
zaleks_ru на пути к лучшему
По умолчанию Re: зажеч светодиод или в чем логика НЕ

Сообщение от Maddocrus Посмотреть сообщение
Студент заочник на нересте, все верно Пытаюсь сделать Автоматический поиск неисправностей с помощью Нестандартного дешифратора. Как тогда инвертироваться? Вот вся схема. Тугой жутко но стараюсь.
Реализовывать этот дешифратор проще всего на ПЗУ (программируемом запоминающем устройстве) входы пзу - входы вашей матрицы, Выходы ПЗУ - выходы Вашей матрицы. Каждой комбинации входных сигналов соответствует
записаное в ПЗУ значение выходных сигналов, соответствующее выбранной логической функции.
zaleks_ru вне форума  
Непрочитано 17.05.2017, 15:22  
NewWriter
Почётный гражданин KAZUS.RU
 
Аватар для NewWriter
 
Регистрация: 07.09.2014
Адрес: В Кремле!
Сообщений: 4,488
Сказал спасибо: 401
Сказали Спасибо 2,216 раз(а) в 1,314 сообщении(ях)
NewWriter на пути к лучшему
По умолчанию Re: зажеч светодиод или в чем логика НЕ

Интересно, где вы такое ПЗУ видели и как его себе представляете?
(ещё один студент-троечник)
NewWriter вне форума  
Непрочитано 17.05.2017, 15:57  
ejs
Прохожий
 
Регистрация: 08.10.2010
Сообщений: 3
Сказал спасибо: 2
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
ejs на пути к лучшему
По умолчанию Re: зажеч светодиод или в чем логика НЕ

Сообщение от NewWriter Посмотреть сообщение
Интересно, где вы такое ПЗУ видели и как его себе представляете?
(ещё один студент-троечник)
EEPROM, http://www.atmel.com/products/Memori...el_eeprom.aspx

http://eu.mouser.com/Semiconductors/...88u2?P=1z0vhtu
ejs вне форума  
Непрочитано 17.05.2017, 16:37  
nml
Супер-модератор
 
Аватар для nml
 
Регистрация: 13.03.2004
Адрес: Minsk
Сообщений: 2,378
Сказал спасибо: 1,948
Сказали Спасибо 1,327 раз(а) в 578 сообщении(ях)
nml на пути к лучшему
По умолчанию Re: зажеч светодиод или в чем логика НЕ

Сообщение от NewWriter Посмотреть сообщение
(ещё один студент-троечник)
Ну зачем так категорично?

Берется любая ПЗУшка, не сериальная. Выход - используем 1 линию, адресные линии - входы. Ну, естественно, рассчитать прошивку придется. Не сложнее чем схему напаять...

ЗЫ В далекие времена так музыкальный звонок делал. Вот там использовались все 8 выходов - 8 нот.
__________________
[ жизнь приятна и красива, если выпить литр пива ]

Последний раз редактировалось nml; 17.05.2017 в 16:41.
nml вне форума  
Непрочитано 17.05.2017, 18:08  
NewWriter
Почётный гражданин KAZUS.RU
 
Аватар для NewWriter
 
Регистрация: 07.09.2014
Адрес: В Кремле!
Сообщений: 4,488
Сказал спасибо: 401
Сказали Спасибо 2,216 раз(а) в 1,314 сообщении(ях)
NewWriter на пути к лучшему
По умолчанию Re: зажеч светодиод или в чем логика НЕ

Тогда уж программируемую логическую матрицу надобно. Это куда ближе к теме и правильнее.
А вообще, не забывайте - у топикстартера теоретическая задача, и решить её надо теоретическим путем.
NewWriter вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Хитрый Диод SS 14, чем заменить? kim25 Информация по радиокомпонентам 2 20.10.2009 00:23
Водка и как с ней бороться SerjT Отвлекитесь, эмбеддеры! 108 29.09.2009 21:25
[Решено] Сборник перлов любителей кабельщины mikesmith Отвлекитесь, эмбеддеры! 135 14.08.2007 15:49


Часовой пояс GMT +4, время: 15:15.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot