Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 03.06.2009, 20:01  
picavr
Почётный гражданин KAZUS.RU
 
Аватар для picavr
 
Регистрация: 07.10.2007
Адрес: Луганск
Сообщений: 1,816
Сказал спасибо: 13
Сказали Спасибо 399 раз(а) в 214 сообщении(ях)
picavr на пути к лучшему
По умолчанию

Сообщение от vo1
А направления портов обычно "жестко" назначены. И в "процессе" не перенаправляются.
То-есть - нет таких команд ( и не нужно, имхо) в "высшей" системе команд.
Если конечно не химичить с мультиплексированием..
Так о задаче направления портов при старте не было сказано, их ведь то же нужно задавать.

А если ногов захочется поболее?... тут мультиплексирование напрашивается.
Реклама:
picavr вне форума  
Непрочитано 03.06.2009, 20:11  
vo1
Заблокирован
 
Регистрация: 05.12.2006
Сообщений: 4,033
Сказал спасибо: 169
Сказали Спасибо 387 раз(а) в 281 сообщении(ях)
vo1 на пути к лучшему
По умолчанию

Сообщение от picavr
А если ногов захочется поболее?... тут мультиплексирование напрашивается.
В системе команд ПЛК есть операции с битами ( в основном..) а не с физическими входами и выходами - "ногами"..
Если схемно сделано мультиплексирование, то выполнение команды ПЛК (например команды установки конкретного "выходного" бита- включение соответсвующего выхода..) должно учитывать схемную реализацию ( в натуре ) чтобы "зажечь" соответствующий выход ПЛС, тоесть -включить выходную релюшку.. оптрон..
vo1 вне форума  
Непрочитано 03.06.2009, 20:30  
picavr
Почётный гражданин KAZUS.RU
 
Аватар для picavr
 
Регистрация: 07.10.2007
Адрес: Луганск
Сообщений: 1,816
Сказал спасибо: 13
Сказали Спасибо 399 раз(а) в 214 сообщении(ях)
picavr на пути к лучшему
По умолчанию

Сообщение от vo1
В системе команд ПЛК есть операции с битами ( в основном..) а не с физическими входами и выходами - "ногами"..
Да это понятно, что с ногами портов работает сама оболочка(типа ОС), а не программа ПЛК...
я ж веду речь, что бы оболочка умела...
picavr вне форума  
Непрочитано 03.06.2009, 20:54  
vo1
Заблокирован
 
Регистрация: 05.12.2006
Сообщений: 4,033
Сказал спасибо: 169
Сказали Спасибо 387 раз(а) в 281 сообщении(ях)
vo1 на пути к лучшему
По умолчанию

Сообщение от picavr
.. что бы оболочка умела...
Учтите.. оболочка на то и оболочка, чтобы ей мог пользоваться "далекий программист".. например - технолог на предприятии.
vo1 вне форума  
Непрочитано 04.06.2009, 01:14  
picavr
Почётный гражданин KAZUS.RU
 
Аватар для picavr
 
Регистрация: 07.10.2007
Адрес: Луганск
Сообщений: 1,816
Сказал спасибо: 13
Сказали Спасибо 399 раз(а) в 214 сообщении(ях)
picavr на пути к лучшему
По умолчанию

Сообщение от vo1
Учтите.. оболочка на то и оболочка, чтобы ей мог пользоваться "далекий программист".. например - технолог на предприятии.
Я наверное не так обьяснил.. в общем та програмулина которая занимается общением с железом, в МК. Для меня оболочка - типа операционой системы, в которой и создаются приложения "далёкими програмистами"...
picavr вне форума  
Непрочитано 04.06.2009, 10:02  
kos_ua
Прописка
 
Регистрация: 07.07.2005
Сообщений: 111
Сказал спасибо: 2
Сказали Спасибо 12 раз(а) в 7 сообщении(ях)
kos_ua на пути к лучшему
По умолчанию

Что касается портов ввода вывода их направления и тд.
попытаюсь объяснить.
I,Q-это обычный массив определенны как:
char I[50]
char Q[50]
C переменными данных массивов можно делать булевые операции(I12.5,Q2.3), операции с типом integer (IB2,QW2,ID2), операции с плавающей запятой ID2,QD34.
Главное помнить что integer может иметь размер 1байт(В) 2байта(W) или 4байта(D) а тип данных real 4 байта(D). Первая буква всегда идет идентификатором области памяти(массива).
Теперь о вводе вводе-выводе.
Принято так: программа ПЛК зачитывает данные из реальных каналов ВВОДА в массив I[50] перед обработкой программы пользователя.
Программа ПЛК записывает данные в реальные каналы ВЫВОДА из массива Q[50] после обработкой программы пользователя.
Вывод следующий....функции ввода-вывода пользователь должен написать сам применительно к тому аппаратному обеспечению которое у него есть.
Другими словами....Я ж не знаю какую схему ПЛК вы собрали))))))..и какой порт расширения у вас стоит(SPI, I2C, ППВ).

массив М[50]-массив отданный пользователю для хранения результатов его вычисления.

Например CoDeSys как среда позиционирующая себя под разные ПЛК просто выделяет пустую функцию ввода вывода, которую конечный пользователь должен заполнить сам. Далее пример из ОС CoDeSys
/************************************************** ***************************/
/* IO Access */
/************************************************** ***************************/
void RHIIOInit (void)
{
/* TO-DO: add here code to initialize your hardware */
pfe_enable_pio(5,4);
pfe_enable_pio(9,2);
pfe_enable_pio(10,4);
I2C_select_clock_pin (0);
I2C_select_data_pin (1);
I2C_init();
}

int RHIIOReadInputs (unsigned char *pInputArea,
unsigned int inputAreaSize,
unsigned int moduleOffset,
unsigned int moduleSize,
long moduleHandle,
long taskId)
{
char temp;
char buf[5];
char ret;
// TO-DO: add here code to copy the hardware values into the IEC input area.

RHIInputArea *theInputArea = (RHIInputArea*)pInputArea;

for (temp=0; temp‹6; temp++)
{
ret = I2C_receive_block ( ModuleWork, buf, 3 );
I2C_release();
}
if (ret==0) {theInputArea-›IB0=buf[0]; }

/* avoid compiler warnings */
pInputArea = pInputArea;
inputAreaSize = inputAreaSize;
moduleOffset = moduleOffset;
moduleSize = moduleSize;
moduleHandle = moduleHandle;
taskId = taskId;

return 0;
}

int RHIIOWriteOutputs (unsigned char *pOutputArea,
unsigned int outputAreaSize,
unsigned int moduleOffset,
unsigned int moduleSize,
long moduleHandle,
long taskId)
{

char temp;
char buf[5];
char ret;
/* TO-DO: add here code to copy the IEC output area values to the hardware.
Example:
RHIOutputArea *theOutputArea = (RHIOutputArea *)pOutputArea;
outportb(0x600, theOutputArea-›myByteOutput);
outport (0x602, theOutputArea-›myWordOutput);
*/
RHIOutputArea *theOutputArea = (RHIOutputArea *)pOutputArea;



/* avoid compiler warnings */
pOutputArea = pOutputArea;
outputAreaSize = outputAreaSize;
moduleOffset = moduleOffset;
moduleSize = moduleSize;
moduleHandle = moduleHandle;
taskId = taskId;

return 0;
}

Сообщение от picavr
Сообщение от vo1
А направления портов обычно "жестко" назначены. И в "процессе" не перенаправляются.
То-есть - нет таких команд ( и не нужно, имхо) в "высшей" системе команд.
Если конечно не химичить с мультиплексированием..
Так о задаче направления портов при старте не было сказано, их ведь то же нужно задавать.

А если ногов захочется поболее?... тут мультиплексирование напрашивается.
Чтобы небыло больше вопросов я ввиду в программу СИ кода следующие функции:
void IO_Input(void)
{

}

void IO_Output(void)
{

}

void IO_Init(void)
{

А в теле оснофной программы будет их вызов:
//*******OB100********
TMOD = 0x01; // Внутренние таймера 16 разрядные
TR0=1; // Включит таймер 0
ET0=1; // Разрешить прерывание от таймера 0
EA=1; // Резрешить все прерывания
IO_Init(); // Нициализация портов
//********OB1*********
while(1){
IO_Input(); // Чтение входных модулей

//Здесь код пользовательской программы

IO_Output(); // Запись в выходные модули
}}
}

Последний раз редактировалось mike-y-k; 14.04.2022 в 22:51. Причина: 6.6
kos_ua вне форума  
Непрочитано 04.06.2009, 12:33  
Mixer79
Частый гость
 
Регистрация: 22.08.2007
Сообщений: 43
Сказал спасибо: 3
Сказали Спасибо 2 раз(а) в 2 сообщении(ях)
Mixer79 на пути к лучшему
По умолчанию

Вооот... а я сразу писал, что надо еще программу(подпрограмму) для конфигурирования. Типа как мастер в CodeVision.
Mixer79 вне форума  
Непрочитано 04.06.2009, 14:46  
kos_ua
Прописка
 
Регистрация: 07.07.2005
Сообщений: 111
Сказал спасибо: 2
Сказали Спасибо 12 раз(а) в 7 сообщении(ях)
kos_ua на пути к лучшему
По умолчанию

Сообщение от Mixer79
Вооот... а я сразу писал, что надо еще программу(подпрограмму) для конфигурирования. Типа как мастер в CodeVision.
Ну конечно....я ж вам сформировал масив образов входов и выходов....а вы уже транслируйте их куда хотите)))

Сообщение от lvadia
kos_ua то что вы делаете, это великолепно. Ищу подобные программы, а их практически нет. Признаюсь пока не пробовал вашу программу, но обязательно попробую сегодня или завтра. Я считаю вам следует продолжать работать в этом направлении. И в принципе не важно в каком корпусе будет ваш PLC. Вся фишка это возможность создать по настоящему народный, кросс-платформеный компилятор из FBD в С и HEX. Возможно подключив свободные компиляторы для разных микроконтроллеров и создав некие целевые конфигурационные файлы для конкретных МК. А если подобный проект ещё и будет свободным...великолепно. Удачи, ещё раз перечитаю посты. Не в коем случае не сворачивайте ветку, лучше создайте свой сайт.[/b]
Спасибо за коменты....сворачивать пока что не собираюсь, тем более что привык все доводить до логического конца......Просто существует основная работа, этот проект я делаю в свободное от работы время)))

Сообщение от lvadia
Ты наверное знаешь, но на всякий случай
напомню про Kylix Delphi for Linux.
http://www.realcoding.net/article/ru...lphi_for_Linux
сам не пробовал. Но подозреваю, что портирование под LINUX, увеличит интерес к разработке. Сам пользуюсь PuppyRus Linux, для работы в инете и работы с текстами и графикой. И возвращаюсь в Win только чтобы использовать некоторое специфическое ПО для PLC & uC. Программированием пока плотно не занимаюсь, потихоньку развиваем собственный конструктор.
Дам ссылку по теме программирования МК под пингвином.
http://poplinux.ru/me_n_lin/need_tux/p_1
Может будет интересно...
Я тебя понял...но линукс это будет следующм шагом..и я так думаю что не првым(

Сообщение от lvadia
Да ещё, попробовал сегодня и зависания прошли. Наверное с операционкой чегой то не то. Но так и не понял как сгенерить СИшный код. Выдаёт месэдж с надписью bOUT и кнопкой О.К.
Привет...такое сообщение выдается если ты поставил bOUT или bIN или iIN или iOUT или rIN или rOUT и ничего там не написал....Напиши Q0.0 в поле bOUT.

Сообщение от lvadia
Я вписывал на входа in1, in2, а на выхода соответственно out1, out2. Эти обозначения не катят?
in1 in2...это символические имена....Q0.0 I0.2 и тп это прямые адреса на массив входов и выходов.
char I[50];
char Q[50];
char M[50];
То есть все свои переменный надо хранить в этих бластях памяти.
I0.0: bool
IB0: byte
IW0: word
ID0: DWORD or REAl
Символические имена еще на стадии разработки.

Сообщение от lvadia
не знал что ты в сети, я ещё вопросы в предыдущий пост добавил. Приветствую
Привет...Я в сети всегда....у меня mail agent и уведомление о новых постах...так что мне письмо о новом посте автоматом на комп залетает)))

Сообщение от lvadia
Я вписывал на входа in1, in2, а на выхода соответственно out1, out2. Эти обозначения не катят?
Планируешь ли ты добавить новые функциональные блоки? В частности мне не хватает реверсивного счётчика (да и обычный не помешает.
Какое разрешение у таймеров (100мс?)И можно поподробнее о всех типах таймеров? Ну и генератор (мультивибратор) не помешает, как мне кажется.
Счетчика добавлю...хотя сам их редко использую...достаточно функции сложния и вычитания и входа EN(разрешение функции...это правой кнопкой мыши)...а так конечно будет полный ассортимент...не все сразу....я смотрю на STEP7 от SIEMENS и делаю то что у них реализовано)....вот чего точно не хватает так это функции положительного и отрицательного фронта....это будет в первую очередь

http://automation-drives.ru/as/downl...3_Timers_r.pdf
Это ссылка как работают таймера)))

Сообщение от lvadia
Не только ссылка, но и сайт не работают
Работало...наверное сервак у них валяется)))

Сообщение от lvadia
Я так понимаю, что надо в начале мне со STEP7 познакомиться?
Я использовал только маленькие PLC-шки: ALPHA, ALPHA XL, ZelioLogic2, пробовал среды и для других аналогов LOGO... да всех и не вспомню. Ну а в принципе выбирал контроллер, по той программной среде, которая больше понравилась. Это в конце концов себя оправдало. Хотя "само совершенство" пока не встречал.
Что касается выбора ПЛК то тут не важно...тема ж так и называется ПЛК на базе стандарта IEC 61131.
Во всех ПЛК перечисленных таймера должны работать одинаково....например SD таймер это таймер с задеожкой на включение SP таймер формирователь импульса.....всего их 5 вариантов

Сообщение от lvadia
Только называются они по разному. Поэтому мне и не понятно.
Если не трудно, то хорошо бы ввести всплывающую подсказку, при попадании курсора на блок.
Сорри..все не успеваю.....)))

Сообщение от lvadia
Попробовал ввести правильное обозначение, Си код сгенерился. Только не разобрался пока с аналоговыми входами. Хорошо бы исключать из результата, не использованные функциональные блоки ИМХО. Это сэкономит память контроллера для основной проги, я понимаю что ты в начале пути, не обижайся на кучи вопросов. Их потом можно вставить в FAQ, ведь уже всё будет написано
Аналоговые входа как и дискретные входа отображаются на область памяти I(АЦП) и переписываются на облсть памяти Q(ЦАП). Всей этой проблемой (связь с ацп цап модулями дискретного ввода-вывода) должны заниматься функции ввода вывода(IO_Input IO_Output)....Так что все в ваших руках....а сточки зрения стандарта IEC 61131 вы должны оперировать областями памяти:
IW22 означает взять из массива "I" размером "W" 2 байта число начало которого в массиве 22.Другими словами число лежит в 22 и 23 байти области "I".
Соответсвенно его значение принимает -32768...+32767

Сообщение от lvadia
Нашёл пару проектов, похожих на твой:
http://www.ineum-ems.ru/casesystemconfild
http://www.segnetics.com/main.aspx?Page=230
может не сталкивался ещё?
segnetics видел....
ineum-ems.....только описание...где качнеуть не знаю)))

Сегодня вечером выложу новую версию софта)
Что дальше буду делать- время покажет...
Думаю долгое время софт будет бесплатным.....)))...мы ж не в Америке....а вот как на это зарабатывать я еще не придумал....пока что проект расматривается мной в учебных целях ввиде хобби в свободное от работы время))

Новая версия софта.
Здесь добавлены следующие функции:
1. Появилась возможность создавать, добавлять, удалять задачи(правой клавишей мыши).
2. Задачи выполняются последовательно как они расположены на форме.
3. Задачи можно перетаскивать мышкой.
4. Куски схемы можно копировать как внутри одной задачи так и между задачами.
5. Работают кнопка сохранения создания и отрытия проета.

ЗЫ При инсталяции вылетает ошибка...не обращаем на нее внимание))...вылечим чуть позже.

Заранее благодарен за отзывы))).

Прикрепленный файл: 6658465.rar

Сообщение от lvadia
Я написал им письмо http://www.ineum-ems.ru/casesystemconfild
ответили, что софт идёт бесплатно вместе с контроллерами их производства...
И привязан к их ПЛК))))

Сообщение от lvadia
Пожалуй что так По крайней мере на мою просьбу посмотреть программу, они ответили дружным молчанием 8O
Почему то я не сомневался..И вообще..как можно покупать программируемое изделие если неизвестна среда проектирования))))....

Давай начнем с первого
Возьми последнююю версию.
Далее возьми пример.
А потом поговорим)))

Прикрепленный файл: 582788.rar

Это демка счетчика

Прикрепленный файл: 4596021.rar

Сообщение от lvadia
С программой понятно...убрал лишнее? а вот пример со счётчиком у меня не загружается, в рабочей области пусто...
Ой ссори ....ща исправлю ошибку и вложу новую версию проги)))

Последний раз редактировалось mike-y-k; 14.04.2022 в 22:55. Причина: 6.6
kos_ua вне форума  
Непрочитано 11.06.2009, 10:14  
Mixer79
Частый гость
 
Регистрация: 22.08.2007
Сообщений: 43
Сказал спасибо: 3
Сказали Спасибо 2 раз(а) в 2 сообщении(ях)
Mixer79 на пути к лучшему
По умолчанию

1. Объясните мне глупому. POU и Task это одно и то же?
2. Нужно разделить в коде С задачи. Когда видишь код без разделителей, трудно разбираться.
3. Был открыт старый проект. Нажал кнопку "New". И закрыл окно с предложением сохранить старый проект крестиком, не нажимая не одной кнопки. Мой старый проект безвозвратно утерян.
4. Как управлять входами в режиме симуляции? Раньше были кнопки.
5. Непривычно когда за каждым новым элементом приходится снова тянутся в библиотеку. Хочется чтобы пока не нажали ESC или не выбрали новый элемент, продолжали вставляться элементы того вида, который выбрали.
6. Почему окно сообщений не растянуто на всю нижнюю часть? И масштабируется оно по-моему не пропорционально.
7. В закладке C кода не масштабируется окно самого кода, при изменении размеров главного окна. В резкльтате у меня полоса прокрутки уходит вниз за границы отображения вкладки. (надеюсь понятно)
8. На главной форме, при растяжении правее от окна сообщений появляются надписи "IW0", "MW0".
Mixer79 вне форума  
Непрочитано 11.06.2009, 10:25  
kos_ua
Прописка
 
Регистрация: 07.07.2005
Сообщений: 111
Сказал спасибо: 2
Сказали Спасибо 12 раз(а) в 7 сообщении(ях)
kos_ua на пути к лучшему
По умолчанию

Сообщение от Mixer79
1. Объясните мне глупому. POU и Task это одно и то же?
2. Нужно разделить в коде С задачи. Когда видишь код без разделителей, трудно разбираться.
3. Был открыт старый проект. Нажал кнопку "New". И закрыл окно с предложением сохранить старый проект крестиком, не нажимая не одной кнопки. Мой старый проект безвозвратно утерян.
POU и TASK одно и тоже.
Задачи в си коде разделю.
Стары проект может быть утерян...потому что я на этой неделе работал с сохранением проекта...и поменялась идеалогия(...сорри

Сообщение от lvadia
А вот до симуляции я не добрался, как ей вообще пользоваться?
Включаешь режим и ... нажимаешь курсором на входа?
И по поводу "5. Непривычно когда за каждым новым элементом приходится снова тянутся в библиотеку. Хочется чтобы пока не нажали ESC или не выбрали новый элемент, продолжали вставляться элементы того вида, который выбрали. " согласен с Mixer79, не очень удобно, может как то через контекстное меню сделать возможность выбрать или изменить элемент.
Уже сделал чтоб не надо было тянуться...отмена через ESC)...чуть позже выложу новый вариант.

Сорри...возникли некоторые проблемы програмнного характера...и работы основной насыпалось...так что отпишусь завтра или после завтра)

Привет всем вроде подправил всяки баги...ПЛЗ попробуйте и отпишитесь у кого что получилось)
В прикрепленном файле инсталяция и демка счетчиков.

К вопросу о том как изменить переменную в симуляторе.
Нажимаем кнопку "Variable Table" и в поле Address пишим что хоти смотреть или менять.
Например I0.0.
Чтоб изменить переменную то надо написать нужное значение в поле Modify нажать кнопку с молнией или F9.

Прикрепленный файл: 9032595.rar

Сообщение от lvadia
А что делает блок FP? Попробовал открыть демку, ты писал что это счётчик. Но ничего не понял, я сейчас пишу из под Вин, так-что может объяснишь онлайн, как симуляцией на основе твоего примера пользоваться?
Первая схемка это формирователь меандра...я думаю что ты это понял.
В М0.1 формируется меандр.
Далее этот меандр попадает на вход сложение..но складывается он по фронту сигнала....
Блок PF(positive front) устанавливает выход в 1 на один скан ПЛК при появлении положительного фронта на его входе.

Сообщение от lvadia
Ага вроде стало доходить
У тебя мультивибртор сверхуа счётчик снизу...
Правильно...Симуляцию нажимал?

Сообщение от lvadia
FP это формирователь коротких импульсов...да?
А направление счёта определяется константой?
Можешь складывать можешь вычитать.....в этом и отличие логического реле от ПЛК....в ПЛК есть счетчики...но я их редко использую в своих проектах....мне сложить по фронту не впадлу))))

Сообщение от kos_ua
Сообщение от lvadia
Ага вроде стало доходить
У тебя мультивибртор сверхуа счётчик снизу...
Да кстати если вместо QB0 напишишь QW0 то счетчик стнит не до 255 а до 65535)

Сообщение от lvadia
Ага...работает
Непривычная реализация счётчика, когдато по такому принципу пробовал сделать синтезатор звука, на дискретной логике...
Но по мне всё-же удобнее реверсивный счётчик с входами: +, -, set, reset...
Я думаю, что пдобный модуль, для тебя не проблемма добавить а часть вопросов от новичьков пропадёт.
Не вопрос...ща добавлю и через два часа выложу....вот тока в степ7 прогу допишу..а то заказчики начинают по срокам давить)))

Сообщение от lvadia
В Альфе тоже есть арифметика, правда для счётчика я не пробовал её использовать, если есть готовый блок, вроде и изобретать не нужно было ничего. Как мне подцепить к этой программе кнопки например, чтобы менять одну из уставок?
Все кнопки подкулючаются на входа ПЛК...I0.0-› I49.7
А в симуляторе их менять через VAT таблицу.....см выше)

Сообщение от lvadia
Если будеш давать расшифровку абревиатуры, будет неплохо.Ну и может ввести всёже блок генератора:
время вкл, время выкл, enable воможно части человечества будет удобнее, чем два таймера задействовать, и один инвертор...
Все со временем....и хелп напишим и генератор создадим)))

Сообщение от lvadia
Я пока не знаю, как ты позиционируешь свой продукт. Если для профи, то это одно (хотя профи возможно выбирут что то типа Step7 ). Или для начинающих или не опытных разработчиков, тогда стоит подумать об облегчении их входа в данный мир.
Ты знаешь, я тоже до сих пор мечтаю, чтоб выпустили ПЛК с микрофонным входом....ятоб я ему человеческим голосом наговорил что я от него хочу))))....но к сожалению такого нет...а стандарт IEC 61131 есть...так что будем его придерживаться и одновременно изучать)

Сообщение от lvadia
Я понимаю, что хелп пишется в последнюю очередь, просто на форуме давай пояснения для тестировщиков. Это будет полезно и для тебя, обратня связь позволит уже на начальном этапе, находить наилучшие решения...
Нет проблем...если что не ясно спрашивайте я отвечу.
Ты как то спрашивал что такое
GT(greater than)
LS(Less then)
EQ(equal)
Т.е. функции сравнения чисел)

Сообщение от lvadia
Стандарт IEC 61131, не определяет дополнительные функции среды для программирования. И в этом тоже идёт конкурентная борьба...По себе знаю, что понятный и удобный интерфейс, намного сокращает сроки работы.
Да и потом я тебя не подгоняю...просто предлагаю вариант, может и пригодится. Я думаю у каждого потенциального пользователя, есть желание что то переделать под себя и на каждого не угодишь. Но насколько удобнее, не работать с таблицей а просто нажимать кнопки на экране (а ещё лучше на клавиатуре) чтобы проверить, только что написанную программу...
Согласен с тобой на 100%...но отвлекись от АЛЬФЫ и вспомини что адресное пространство входов и выходов уже на сегодня 50 байт...и это ограничение я ввел только из соображений что проц с такой программой не справиться(быстродействия может не хватить). А 50 байт на вход это 400 кнопок + 50 байт на выход это 400 лампочек)))...дальше сам думай и предлагай

Сообщение от lvadia
Я как ни странно, говорю не об Альфе А об однокристальном микроконтроллере. На Альфе ты зациклился, это же из другой темы... А на контроллер, если не расширять периферию, что и не нужно в 99%, навешать 400 лампочек и не получится. 8-16 входов и 8-16 выходов. Я тебя прошу, отойди немного от S7. Посмотри со стороны на своё детище. Ответь на вопрос, для кого это делается?
Лично я делаю расширяемую систему...надо 2 доп модуля ...значит пусть народ ставит 2 модуля..надо 8 пусть расширяются до ))...убого получается если остановиться в рамках одного кристала....изделие должно быть закончено, в корпусе и обладать повторяемостью и функциональностью....если жить в рамках одного кристала...то это вперед к АВР студии или Keil.
На самом деле мы в начале пути...пока что разговор ведем о системе проектирования...и ограничивать ее в функциональности я не собираюсь...Следующим шагом будет проектирования центрального процессорного модуля, модулей ввода вывода и канала связи между ними.
А потом займемся внешними коммуникациями))))...
Но не пугайся....на самом деле это не сложно и главное конечное изделие не будет дорогим с одной стороны и будет функциональным с другой стороны.

Как считаешь...много на себя взяли))

А если ты хочешь поговорить о графическом проектировании микроконтроллеров и при этом получить минимальный код программы памяти данных и остаться жить в рамках одного кристала без внешней флеш и озу то это не ко мне......этим занимаются спец конторы и за бабло)

А в основном все просматривают а писать впадлу))...закроем тему...перейдем в ICQ...и ОК)

Сообщение от lvadia
Ну а если ты собираешься выпускать свой контроллер...то удачи конечно. Но на получении сертификации разоришься. А у вас интересно, что-то подобное, я имею ввиду PLC выпускают?
Слово сертификат все знают.....а вот мало кто понимает о чем речь идет)...даже нехочу поднимать этот вопрос.....если такие фразы кидать то надо вообще все бросать и идти свой огород копать....там точно сертификаты не нужны)

Сообщение от lvadia
Не надо поспешных решений, для личных разговоров есть ЛС и мыло.
А продолжать говорить здесь, думаю необходимо...ну может не так часто
согласен...а то форум в ICQ превратили)

Последний раз редактировалось mike-y-k; 14.04.2022 в 22:57. Причина: 6.6
kos_ua вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Языки программирования IEC-6113-3(FBD, LD, IL и т.) VladG Информация по радиокомпонентам 0 18.08.2009 08:57
Ищу ПЛК (промышленный контроллер) c RS-232 и RS-485... LazarenkoAS2 Делимся опытом 44 19.10.2008 20:27
Графический ЖК индикатор на базе LC7981 Bear-ku Микроконтроллеры, АЦП, память и т.д 1 24.07.2008 08:29
Создаем лабораторный БП с цифровым управлением masterpc Источники питания и свет 60 02.04.2007 06:24
осциллограф на базе ПК SlavaS Измерительное оборудование 61 09.06.2005 20:04


Часовой пояс GMT +4, время: 23:23.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot