Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Proteus, KiCAD и другие ECAD Разработчик так или иначе сталкивается с системами автоматизированного проектирования. Данный раздел - по САПР.

 
Опции темы
Непрочитано 14.10.2005, 18:54  
Herr SIBIR
Гость
 
Сообщений: n/a
По умолчанию языки прогр

Мужики, говорю сразу - Я новичок!
В чем отличие микроконтроллера от ПЛИС?
для чего используются VHDL и assembler?
 
Непрочитано 14.10.2005, 19:01  
chipic128
Прописка
 
Регистрация: 13.02.2005
Сообщений: 250
Сказал спасибо: 0
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
chipic128 на пути к лучшему
По умолчанию

ПЛИС енто программироемые логичиские елементу, ну вроде конструктора в котором много логики: тригеров.... и-не и, или,..... и т.д., а микроконтроллер - ето процессор, который бегаэт по памяти программ, и сполняэт команды, а плис,.... тупая запрограммированая логика...
__________________
Що згорить - то не зігниє!

(c)ChiP
chipic128 вне форума  
Непрочитано 14.10.2005, 22:35  
AnB
Прописка
 
Регистрация: 23.06.2005
Сообщений: 166
Сказал спасибо: 0
Сказали Спасибо 7 раз(а) в 6 сообщении(ях)
AnB на пути к лучшему
По умолчанию

Сообщение от chipic128
ПЛИС енто программироемые логичиские елементу, ну вроде конструктора в котором много логики: тригеров.... и-не и, или,..... и т.д., а микроконтроллер - ето процессор, который бегаэт по памяти программ, и сполняэт команды, а плис,.... тупая запрограммированая логика...
Интересное мнение.. я встречал написаные на VHDL ядра микроконтроллеров которые можно зашить в ПЛИС..
__________________
Главный парадокс: Оптимист верит в то, что живет в лутшем из миров - пессемист боится, что так оно и есть....
AnB вне форума  
Непрочитано 14.10.2005, 23:31  
hhhf
Гость
 
Сообщений: n/a
По умолчанию d

и все же?
 
Непрочитано 14.10.2005, 23:40  
AnB
Прописка
 
Регистрация: 23.06.2005
Сообщений: 166
Сказал спасибо: 0
Сказали Спасибо 7 раз(а) в 6 сообщении(ях)
AnB на пути к лучшему
По умолчанию

http://www.kvantn.com.ua/resourse/Al...L_context.html
http://www.plis.ru/
http://www.microchip.ru/

Можно еще и поисковики юзать
__________________
Главный парадокс: Оптимист верит в то, что живет в лутшем из миров - пессемист боится, что так оно и есть....
AnB вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Языки программирования IEC-6113-3(FBD, LD, IL и т.) VladG Информация по радиокомпонентам 0 18.08.2009 08:57
Вопрос по вызову функций в я зыке прогр. С. Yegorvin Микроконтроллеры, АЦП, память и т.д 2 23.10.2008 20:18
какая самая лучшая прогр. для конв-ции файлов в Djvu и Pdf? ndreich Делимся опытом 4 26.02.2008 00:07


Часовой пояс GMT +4, время: 04:58.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot