Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Proteus, KiCAD и другие ECAD Разработчик так или иначе сталкивается с системами автоматизированного проектирования. Данный раздел - по САПР.

 
Опции темы
Непрочитано 23.09.2009, 19:33  
Proger89
Прохожий
 
Регистрация: 23.09.2009
Сообщений: 2
Сказал спасибо: 0
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
Proger89 на пути к лучшему
Восклицание Простейшая программа на VHDL в Quartus II

Доброго времени суток.
У меня возникла проблема при разработке мультиплексора на VHDL.
Далее приведен код мультиплексора:

Код:
library ieee;
use ieee.std_logic_1164.all;

LIBRARY lpm; 
USE lpm.lpm_components.all;
	      
entity MUX is
	generic (DATA_WIDTH : natural := 4;
	         SEL_WIDTH : natural := 2
	         );
	port (data : bit_vector (DATA_WIDTH - 1 downto 0);
	      sel : in natural range DATA_WIDTH - 1 to 0;
	      result : out bit
	);

end entity MUX;

architecture my_MUX of MUX is
begin
	result ‹= data(sel);		
	
end architecture;
Но результаты моделирования схемы, явно не соответствует работе мультиплексора. Получившиеся диаграммы работы можно посмотреть в прикрепленном файле.

Помогите разобратся с проблемой.
Заранее благодарен.
Миниатюры:
Нажмите на изображение для увеличения
Название: Результат моделирования.jpg
Просмотров: 150
Размер:	89.2 Кб
ID:	602  
Proger89 вне форума  
Непрочитано 24.09.2009, 13:46  
Proger89
Прохожий
 
Регистрация: 23.09.2009
Сообщений: 2
Сказал спасибо: 0
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
Proger89 на пути к лучшему
По умолчанию Re: Простейшая программа на VHDL в Quartus II

Всё проблема решена.
Устройство была описано правильно. А вот входные сигналы нет - слишком быстро изменялись сигналы на входах data.
В прикрепленном файле результат моделирования.
Миниатюры:
Нажмите на изображение для увеличения
Название: Результат моделирования.jpg
Просмотров: 178
Размер:	67.8 Кб
ID:	608  
Proger89 вне форума  
 

Закладки

Метки
quartus ii, vhdl, мультиплексор
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход


Часовой пояс GMT +4, время: 09:44.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot