Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту


 
Опции темы
Непрочитано 06.02.2016, 12:09  
lizard66
Частый гость
 
Регистрация: 24.09.2013
Сообщений: 28
Сказал спасибо: 0
Сказали Спасибо 10 раз(а) в 4 сообщении(ях)
lizard66 на пути к лучшему
По умолчанию Задержка PIN-2-Logic в EPM7128S

Нужно внести небольшую (10-20 nS) задержку от входного пина ко всей внутренней логике. Не могу найти эту функцию в “Assignment Editor”. Просветите, пожалуйста, чайника, существует такая возможность в epm7128S или придется лепить задержку «снаружи». Боюсь, если врезать несколько последовательных элементов, то компилятор в процессе компиляции и оптимизации их уберет.

PS: Quartus v9.1 , проект в «Block Diagram/Schematic File»
Миниатюры:
Нажмите на изображение для увеличения
Название: deley-2-PIN.png
Просмотров: 65
Размер:	10.2 Кб
ID:	87748  
Реклама:
lizard66 вне форума  
Непрочитано 06.02.2016, 12:22  
ForcePoint
Почётный гражданин KAZUS.RU
 
Регистрация: 20.03.2007
Адрес: "Братское кольцо враждебности", т.е. ближайшее заМКАДье.
Сообщений: 6,938
Сказал спасибо: 2,991
Сказали Спасибо 3,167 раз(а) в 2,149 сообщении(ях)
ForcePoint на пути к лучшему
По умолчанию Re: Задержка PIN-2-Logic в EPM7128S

ЕМНИП - нет такого библиотечного элемента. Если есть какой-либо тактовый сигнал - можно попробовать воспользоваться им. Либо переработать схему так, что-бы исключить "логические гонки" (они-же "race condition" или "logic hazard").

Сообщение от lizard66 Посмотреть сообщение
то компилятор в процессе компиляции и оптимизации их уберет.
Да, уберёт. Можно объявить кусок не подлежащим оптимизации.
ForcePoint вне форума  
Непрочитано 06.02.2016, 14:12  
lizard66
Частый гость
 
Регистрация: 24.09.2013
Сообщений: 28
Сказал спасибо: 0
Сказали Спасибо 10 раз(а) в 4 сообщении(ях)
lizard66 на пути к лучшему
По умолчанию Re: Задержка PIN-2-Logic в EPM7128S

Сообщение от ForcePoint Посмотреть сообщение
Если есть какой-либо тактовый сигнал - можно попробовать воспользоваться им.
Есть условно два тактовых SDA и SCL , формируемые внешним I2C мастером.

Сообщение от ForcePoint Посмотреть сообщение
Либо переработать схему так, что-бы исключить "логические гонки" (они-же "race condition" или "logic hazard").
Боюсь, на это уже нет места.

Сообщение от ForcePoint Посмотреть сообщение
ЕМНИП - нет такого библиотечного элемента.
Честно говоря я имел ввиду не библиотечный элемент, а назначение специальных свойств пину. На «марсоходе» вскользь упомянуто так:
Миниатюры:
Нажмите на изображение для увеличения
Название: delay.PNG
Просмотров: 41
Размер:	17.5 Кб
ID:	87761  
lizard66 вне форума  
Непрочитано 07.02.2016, 02:57  
raxp
Почётный гражданин KAZUS.RU
 
Регистрация: 28.05.2010
Сообщений: 1,118
Сказал спасибо: 150
Сказали Спасибо 604 раз(а) в 310 сообщении(ях)
raxp на пути к лучшему
По умолчанию Re: Задержка PIN-2-Logic в EPM7128S

Цитата:
я имел ввиду не библиотечный элемент, а
без генератора никак, только имитировать "Можно объявить кусок не подлежащим оптимизации. "

Например использовать типовое время задержки на элемент из даташита:
Нажмите на изображение для увеличения
Название: Безымянный.PNG
Просмотров: 36
Размер:	12.0 Кб
ID:	87792
только эта задержка будет плавать от температуры и питания. Термостатируете. А может вам и не нужна точность, цель то конечная не указана.
__________________
Белорусский журнал «Радиолюбитель»
Youtube LaboratoryW
UR3QQJ
raxp вне форума  
Непрочитано 07.02.2016, 11:35  
lizard66
Частый гость
 
Регистрация: 24.09.2013
Сообщений: 28
Сказал спасибо: 0
Сказали Спасибо 10 раз(а) в 4 сообщении(ях)
lizard66 на пути к лучшему
По умолчанию Re: Задержка PIN-2-Logic в EPM7128S

Сообщение от raxp Посмотреть сообщение
А может вам и не нужна точность, цель то конечная не указана.

Точность не нужна. Если в двух словах, то имеется два внешних сигнала квадратной шины, которые формирует внешний мастер на МК причем с использованием аппаратного TWI, поэтому повлиять программно на фазу SCL и SDA я не могу. Заливаемая в ПЛИС схема отлажена в протеусе с учетом внутренних задержек в элементах. После компиляции в квартус оптимизатор накрутил так, что сигнал SDA обгоняет SCL. Согласно стандарту I2C при передаче байта все изменения на SDA происходят только при низком потенциале SCL, кроме состояний СТОП и СТАРТ. Так вот в результате трудов оптимизатора, смена состояния SDA с «1» в «0» происходит до того, как SCL оказывается в «0» и это воспринимается остальной логикой как появление состояния «СТОП». Учитывая вышеизложенное, что бы решить проблему необходимо задержать на входе сигнал SDA относительно SCL. Надеюсь, изложил максимально понятно.

Сообщение от raxp Посмотреть сообщение
"Можно объявить кусок не подлежащим оптимизации. "
Как растолковать оптимизатору не трогать вот такой фрагмент:
Миниатюры:
Нажмите на изображение для увеличения
Название: del-XOR.png
Просмотров: 38
Размер:	1.4 Кб
ID:	87799  
lizard66 вне форума  
Непрочитано 15.05.2016, 10:09  
DanilinSA
Почётный гражданин KAZUS.RU
 
Регистрация: 25.11.2010
Адрес: г. Дзержинск Нижегородская обл.
Сообщений: 1,697
Сказал спасибо: 130
Сказали Спасибо 1,090 раз(а) в 521 сообщении(ях)
DanilinSA на пути к лучшему
По умолчанию Re: Задержка PIN-2-Logic в EPM7128S

Цитата:
После компиляции в квартус оптимизатор накрутил так, что сигнал SDA обгоняет SCL.
Асинхронный дизайн? Попробуй переписать на синхронный. Там подобные ситуации исключены.
DanilinSA вне форума  
Непрочитано 15.05.2016, 10:12  
niXto
Почётный гражданин KAZUS.RU
 
Аватар для niXto
 
Регистрация: 13.10.2007
Адрес: Беларусь
Сообщений: 8,048
Сказал спасибо: 60
Сказали Спасибо 3,954 раз(а) в 2,309 сообщении(ях)
niXto на пути к лучшему
По умолчанию Re: Задержка PIN-2-Logic в EPM7128S

lizard66
Для и2с все тайминги измеряются в микросекундах
niXto вне форума  
Непрочитано 15.05.2016, 13:22  
omercury
Почётный гражданин KAZUS.RU
 
Аватар для omercury
 
Регистрация: 25.05.2010
Адрес: г. Королёв
Сообщений: 8,497
Сказал спасибо: 30
Сказали Спасибо 3,072 раз(а) в 2,013 сообщении(ях)
omercury на пути к лучшему
По умолчанию Re: Задержка PIN-2-Logic в EPM7128S

Там похоже за 3 месяца уже всё решили.
omercury вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Изучение PIC C Compiler(CCS C) CERGEI1982 Embedd С 1984 30.08.2023 14:10
Уроки СИ для AVR микроконроллеров IOPA4 Embedd С 969 26.11.2016 12:59
xMEGA прерывания . megavoltk2 Микроконтроллеры, АЦП, память и т.д 3 24.04.2012 16:58
Работа с отдельными битами в CVAVR wellcom Микроконтроллеры, АЦП, память и т.д 7 17.03.2011 18:00
LCD DEM 16261(4 bit mode) + AT89C51 ajborman Микроконтроллеры, АЦП, память и т.д 8 21.03.2007 15:26


Часовой пояс GMT +4, время: 20:53.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot