Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту


 
Опции темы
Непрочитано 26.07.2014, 10:44  
jump
Почётный гражданин KAZUS.RU
 
Регистрация: 20.06.2006
Адрес: Украина, Запорожье
Сообщений: 8,003
Сказал спасибо: 0
Сказали Спасибо 4,946 раз(а) в 2,374 сообщении(ях)
jump на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Сообщение от feo Посмотреть сообщение
задача организовать 10 независимых каналов шим в плис
если это для светопредставления , то есть аппаратные 12-16бит шим ИМС 8-16каналов с последовательным управлением
Реклама:
jump вне форума  
Непрочитано 26.07.2014, 10:53  
feo
Временная регистрация
 
Регистрация: 30.03.2005
Адрес: Нижний Новгород
Сообщений: 75
Сказал спасибо: 29
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
feo на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Сообщение от jump Посмотреть сообщение
если это для светопредставления , то есть аппаратные 12-16бит шим ИМС 8-16каналов с последовательным управлением
Нет, это для системы вентиляции/охлаждения.
Там с пика передается угол заслонки и 4 канала для шим (в том билде что я ссылку дал). Но не ясно почему все падает как только я принятые байты не передаю наружу (строка в главном файле проекта). Оно бы и оставить так, но это лишние траты ресурсов и 5,6 канал уже не дает добавить - говорит ресурсы кончились...
feo вне форума  
Непрочитано 26.07.2014, 11:38  
jump
Почётный гражданин KAZUS.RU
 
Регистрация: 20.06.2006
Адрес: Украина, Запорожье
Сообщений: 8,003
Сказал спасибо: 0
Сказали Спасибо 4,946 раз(а) в 2,374 сообщении(ях)
jump на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Сообщение от feo Посмотреть сообщение
это для системы вентиляции/охлаждения
шим одинаков принципиально, отличие - частота несущей и разрешение в битах. возможность создания парафазного выхода или с dead time решается аппаратно
jump вне форума  
Непрочитано 26.07.2014, 11:44  
feo
Временная регистрация
 
Регистрация: 30.03.2005
Адрес: Нижний Новгород
Сообщений: 75
Сказал спасибо: 29
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
feo на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Сообщение от jump Посмотреть сообщение
шим одинаков принципиально, отличие - частота несущей и разрешение в битах. возможность создания парафазного выхода или с dead time решается аппаратно
Да это все понятно, хотелось бы решить силами плис. Может есть какие идеи конкретно по сложившейся проблеме?
feo вне форума  
Непрочитано 26.07.2014, 16:01  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Вы убираете выходы, в результате регистры к которым эти выходы поключены также убираются. Похоже из-за этого из модуля "исчезает" регистр принятых байт
__________________
misterdi<@>i.ua
MisterDi вне форума  
Сказали "Спасибо" MisterDi
feo (26.07.2014)
Непрочитано 26.07.2014, 16:13  
feo
Временная регистрация
 
Регистрация: 30.03.2005
Адрес: Нижний Новгород
Сообщений: 75
Сказал спасибо: 29
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
feo на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Сообщение от MisterDi Посмотреть сообщение
Вы убираете выходы, в результате регистры к которым эти выходы поключены также убираются. Похоже из-за этого из модуля "исчезает" регистр принятых байт
Этот регистр формируется в одном модуле (принятия пакета спи) и передается в другие модули по частям (пакет разбирается побайтно и части передаем адресно по модулям). Мне вовсе не надо выводить его наружу на пины.
Тут и получается загвоздка. Я этот регистр оставляю, например, даже в пин планере его не назначаю, но при 10 каналах его размер [87:0] и проект не компилируется, говорит у меня только 76 свободных пинов для вывода.

Последний раз редактировалось feo; 26.07.2014 в 16:16.
feo вне форума  
Непрочитано 26.07.2014, 20:43  
niXto
Почётный гражданин KAZUS.RU
 
Аватар для niXto
 
Регистрация: 13.10.2007
Адрес: Беларусь
Сообщений: 8,048
Сказал спасибо: 60
Сказали Спасибо 3,954 раз(а) в 2,309 сообщении(ях)
niXto на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Ну так возьми чип потолще
niXto вне форума  
Непрочитано 27.07.2014, 11:33  
feo
Временная регистрация
 
Регистрация: 30.03.2005
Адрес: Нижний Новгород
Сообщений: 75
Сказал спасибо: 29
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
feo на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Друзья, спасибо за советы!

Правильно ли я понимаю, что если я использую в одном модуле проекта регистр (в который записываю пакет принятых данных) и данные из него используются для других модулей проекта, то я обязан обозначить его как выход(даже если мне его не надо выводить на пины)?

я стрелочками указал элемент, он получается обязателен?
Миниатюры:
Нажмите на изображение для увеличения
Название: 2014-07-27 11-30-48 RTL Viewer - C CPLD project 24_07 First_project - First_project.png
Просмотров: 53
Размер:	100.4 Кб
ID:	67774  
feo вне форума  
Непрочитано 27.07.2014, 15:02  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Нет, не правильно. Как выход, Вы его обозначаете только в определении модуля приема, а на верхнем уровне про этот регистр не должны упоминать. Посмотрите на картинку аналогичную приведенной но в случае, когда убрана строка определения выходов.
З.Ы. ИМХО проще было-бы нарисовать верхний уровень в схематике и не тратить время. Но наш опыт прямо пропорционален потраченному времени, поэтому делайте так, как считаете удобным и нужным.
__________________
misterdi<@>i.ua

Последний раз редактировалось MisterDi; 27.07.2014 в 15:09.
MisterDi вне форума  
Сказали "Спасибо" MisterDi
feo (27.07.2014)
Непрочитано 27.07.2014, 15:39  
feo
Временная регистрация
 
Регистрация: 30.03.2005
Адрес: Нижний Новгород
Сообщений: 75
Сказал спасибо: 29
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
feo на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Сообщение от MisterDi Посмотреть сообщение
Нет, не правильно. Как выход, Вы его обозначаете только в определении модуля приема, а на верхнем уровне про этот регистр не должны упоминать. Посмотрите на картинку аналогичную приведенной но в случае, когда убрана строка определения выходов.
1. Закомментил в главном модуле проекта строку определяющую регистр на выход (см. скриншот)
2. Соответственно изменилась блок-схема (см. скриншот)
3. Все перестало работать (при статистике компиляции соответственно количество используемых выводов снизилось и снизилось количество используемой логики тоже существенно).

Такое ощущение, что компилятор просто выбросил byte_data_received из программы.
Миниатюры:
Нажмите на изображение для увеличения
Название: 1.png
Просмотров: 48
Размер:	138.0 Кб
ID:	67783   Нажмите на изображение для увеличения
Название: 2.png
Просмотров: 44
Размер:	100.8 Кб
ID:	67784  
feo вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Кто-нибудь работал с RF модулями nRF2401A? Easyrider83 Автоматика и аппаратура связи 1481 04.09.2021 23:24
Pic: слитный прием данных по SPI. JekaKey PIC 5 06.03.2013 09:58
Литература по микроконтроллерам (AVR, PIC, ПЛИС и т.д.). Сборка книг - (256 книг+ 27 CD c примерами из книг) [обновление 2011, PDF, DJVU] yurinform Микроконтроллеры, АЦП, память и т.д 5 05.07.2011 19:00
Передача данных по SPI на 20 метров KGN Микроконтроллеры, АЦП, память и т.д 17 20.08.2010 21:33
Помогите с ЦАП AD7708/AD7718 или их аналогами ST_Senya Микроконтроллеры, АЦП, память и т.д 9 30.11.2009 19:03


Часовой пояс GMT +4, время: 17:12.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot