Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту


 
Опции темы
Непрочитано 27.07.2014, 17:49  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Для начала - закомментированная строка определяет цепь -wire, а не регистр - reg. Компилятор пытается соединить одноименные линии, но из текста непонятно какие биты выходов соединены с какими входами. В описаниях модулей нужно добавить номера используемых бит, без этого ничего не получится. попробуйте вместо
Код:
output wire byte_data_received[47..0]
просто
Код:
wire byte_data_received[47..0]
Реклама:
__________________
misterdi<@>i.ua

Последний раз редактировалось MisterDi; 27.07.2014 в 18:03.
MisterDi вне форума  
Непрочитано 27.07.2014, 18:44  
feo
Временная регистрация
 
Регистрация: 30.03.2005
Адрес: Нижний Новгород
Сообщений: 75
Сказал спасибо: 29
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
feo на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Сообщение от MisterDi Посмотреть сообщение
попробуйте вместо
Код:
output wire byte_data_received[47..0]
просто
Код:
wire byte_data_received[47..0]
Error (10170): Verilog HDL syntax error at First_project.v(13) near text "wire"; expecting a direction
feo вне форума  
Непрочитано 28.07.2014, 16:53  
kmm61
Прохожий
 
Регистрация: 02.04.2005
Сообщений: 2
Сказал спасибо: 0
Сказали Спасибо 1 раз в 1 сообщении
kmm61 на пути к лучшему
По умолчанию Re: Передача данных PIC->MAXII по SPI.

Сообщение от feo Посмотреть сообщение
wire byte_data_received[47..0]
вот так правильно: wire[47:0] byte_data_received -если шина внутри модуля,
и output[47:0] byte_data_received -если выходит наружу.
kmm61 вне форума  
Сказали "Спасибо" kmm61
MisterDi (28.07.2014)
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Кто-нибудь работал с RF модулями nRF2401A? Easyrider83 Автоматика и аппаратура связи 1481 04.09.2021 23:24
Pic: слитный прием данных по SPI. JekaKey PIC 5 06.03.2013 09:58
Литература по микроконтроллерам (AVR, PIC, ПЛИС и т.д.). Сборка книг - (256 книг+ 27 CD c примерами из книг) [обновление 2011, PDF, DJVU] yurinform Микроконтроллеры, АЦП, память и т.д 5 05.07.2011 19:00
Передача данных по SPI на 20 метров KGN Микроконтроллеры, АЦП, память и т.д 17 20.08.2010 21:33
Помогите с ЦАП AD7708/AD7718 или их аналогами ST_Senya Микроконтроллеры, АЦП, память и т.д 9 30.11.2009 19:03


Часовой пояс GMT +4, время: 20:43.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot