Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 31.03.2008, 14:12  
nokazus
Вид на жительство
 
Регистрация: 05.09.2006
Сообщений: 360
Сказал спасибо: 0
Сказали Спасибо 3 раз(а) в 3 сообщении(ях)
nokazus на пути к лучшему
По умолчанию

Сообщение от Magnumm
Компилятор конечно сгенерирует логику, эквивалентную той, что вы опишите, ... Гораздо правильнее будет введение тактирования, с соответствующей переделкой схемы.
Так и я про то же.
Сообщение от nokazus
Другое дело, если Вы пересмотрите логику работы Вашей схемы и сделаете ее тактируемой со всеми вытекающими отсюда ПЛЮСАМИ и подводными камнями.
Но:
Сообщение от Simbr
Имеется простая асинхронная комбинационная схема на логике 1533 (без единого триггера)
Удачи.
Реклама:
nokazus вне форума  
Непрочитано 31.03.2008, 14:19  
nokazus
Вид на жительство
 
Регистрация: 05.09.2006
Сообщений: 360
Сказал спасибо: 0
Сказали Спасибо 3 раз(а) в 3 сообщении(ях)
nokazus на пути к лучшему
По умолчанию

Сообщение от Himik_2
Вот один из камней: если фронты тактового сигнала недостаточно круты то альтеру клинит почёрному. Особенно это касается семейства MAX7000.
Все асинхронные сигналы делаю синхронными, пропуская их через входной регистр. Никогда не клинило. Однозначно предсказуемая логика на этапе моделирования.
Удачи.
nokazus вне форума  
Непрочитано 04.04.2008, 10:58  
UNITY
Частый гость
 
Регистрация: 07.07.2005
Сообщений: 20
Сказал спасибо: 0
Сказали Спасибо 1 раз в 1 сообщении
UNITY на пути к лучшему
По умолчанию

Сообщение от Himik_2
Сообщение от Magnumm
Сообщение от Himik_2
Вот один из камней: если фронты тактового сигнала недостаточно круты то альтеру клинит почёрному. Особенно это касается семейства MAX7000.
У меня прекрасно работает даже от синусоидального сигнала, так что возможно вы заблуждаетесь.
Делал проект на MAX7000, нужно было сделать ресет (кондёр 10мкф резистор и кнопка). Так вот вместо ресета максина делала что угодно, но только не работала, причём до полной неработоспособности. Поставил на вход триггер Шмидта всё стало ОК!
Не надо путать дребезг контактов с крутизной фронта. RC цепочка делает из дребезга - "пилу".
UNITY вне форума  
Непрочитано 04.04.2008, 12:55  
Magnumm
Прохожий
 
Регистрация: 30.01.2008
Сообщений: 5
Сказал спасибо: 0
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
Magnumm на пути к лучшему
По умолчанию

Сообщение от UNITY
Сообщение от Himik_2
Сообщение от Magnumm
Сообщение от Himik_2
Вот один из камней: если фронты тактового сигнала недостаточно круты то альтеру клинит почёрному. Особенно это касается семейства MAX7000.
У меня прекрасно работает даже от синусоидального сигнала, так что возможно вы заблуждаетесь.
Делал проект на MAX7000, нужно было сделать ресет (кондёр 10мкф резистор и кнопка). Так вот вместо ресета максина делала что угодно, но только не работала, причём до полной неработоспособности. Поставил на вход триггер Шмидта всё стало ОК!
Не надо путать дребезг контактов с крутизной фронта. RC цепочка делает из дребезга - "пилу".
+1

Ну и вообще, хорошая схема в ресете не нуждается.
Magnumm вне форума  
Непрочитано 04.04.2008, 14:08  
Himik_2
Прописка
 
Аватар для Himik_2
 
Регистрация: 27.04.2005
Сообщений: 125
Сказал спасибо: 10
Сказали Спасибо 26 раз(а) в 17 сообщении(ях)
Himik_2 на пути к лучшему
По умолчанию

Цитата:
Ну и вообще, хорошая схема в ресете не нуждается.
Неправда это. Хорошая схема нуждается в хорошем ресете.
Himik_2 вне форума  
Непрочитано 04.04.2008, 16:27  
strnikol
Вид на жительство
 
Регистрация: 22.05.2006
Сообщений: 301
Сказал спасибо: 1
Сказали Спасибо 29 раз(а) в 26 сообщении(ях)
strnikol на пути к лучшему
По умолчанию

С ресетом пришлось повозиться. Я на логике собираю схемы управления тиристорами в регуляторах температуры. При включении регулятора, не всегда, на на короткое время, пока инсталировался процессор, открывались тиристоры. Схема сброса была резистор-емкость. Решение сл. одна из ножек процессора подвешеная к питанию подводилась к входу логики которую я использовал в качестве сброса. Лог 1 сигнал сброса. После инициализации процессора на этом выводе уст. 0. и далее всё ОК.
strnikol вне форума  
Непрочитано 05.04.2008, 08:13  
nokazus
Вид на жительство
 
Регистрация: 05.09.2006
Сообщений: 360
Сказал спасибо: 0
Сказали Спасибо 3 раз(а) в 3 сообщении(ях)
nokazus на пути к лучшему
По умолчанию

Вообще-то триггеры в MAX7000 имеют внутренний сброс/установку по включению питания. Хотя я сам всегда использую внешний сброс, точнее разрешение работы. Следует учитывать, что некоторые МК, например Atmel MSC-51, во время включения питания обычно выдают кратковременный 0 на выводах портов, затем запускается внутренняя микропрограмма сброса, и выводы устанавливаются в высокое состояние. Выход - можно использовать внешнюю схему сброса (монитор питания), можно сделать регистр управления/статуса в CPLD или др.
Удачи.
nokazus вне форума  
Непрочитано 05.04.2008, 18:44  
strnikol
Вид на жительство
 
Регистрация: 22.05.2006
Сообщений: 301
Сказал спасибо: 1
Сказали Спасибо 29 раз(а) в 26 сообщении(ях)
strnikol на пути к лучшему
По умолчанию

Сообщение от nokazus
Вообще-то триггеры в MAX7000 имеют внутренний сброс/установку по включению питания. Хотя я сам всегда использую внешний сброс, точнее разрешение работы. Следует учитывать, что некоторые МК, например Atmel MSC-51, во время включения питания обычно выдают кратковременный 0 на выводах портов, затем запускается внутренняя микропрограмма сброса, и выводы устанавливаются в высокое состояние. Выход - можно использовать внешнюю схему сброса (монитор питания), можно сделать регистр управления/статуса в CPLD или др.
Удачи.
Применяйте процессоры AVR, Mega.
У этих процессоров по старту порты настроены на ввод.
strnikol вне форума  
Непрочитано 24.06.2008, 21:47  
Simbr
Прописка
 
Аватар для Simbr
 
Регистрация: 15.03.2008
Сообщений: 115
Сказал спасибо: 29
Сказали Спасибо 8 раз(а) в 8 сообщении(ях)
Simbr на пути к лучшему
По умолчанию

Привет всем. Еще вопросик к тем, кто работал с MAX7000S, как она относится к коротким замыканиям на землю и на питание?

И еще вопрос, что делать со входами глобальной синхронизации, если не используем тактирование.

И последний вопрос, отладочную платку, с панелькой PLCC84 под MAX7000S где в Москве прикупить можно.
Simbr вне форума  
Непрочитано 24.06.2008, 22:14  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию

по опыту - терпит, но не очень долго.(у меня бывало до нескольких минут) При этом неслабо греется, но в живых остается.

если выводы глобальной синхронизации не используются, то с ними ничего делать не надо. Хотя хорошим тоном считаю задействовать сигнал общего сброса.

По поводу перехода к синхронному режиму работы могу сказть, что 16-разрядный асинхронный счетчик на МАХ7000 примерно в 10 раз медленнее синхронного, собранного на томже самом кристалле.
MisterDi вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Приборы ЛОГИКА kazus_volgograd Производственное оборудование 3 02.02.2012 09:32
Интернет через мобилку - обмен мнениями, опытом и т.п. andr1791 Отвлекитесь, эмбеддеры! 17 01.03.2009 16:45
LPC2xxx симейство и 5V логика ua_gruzin Микроконтроллеры, АЦП, память и т.д 16 06.07.2008 17:48
ПЛИС GAL16V8 и элементарная логика. WattsON Микроконтроллеры, АЦП, память и т.д 2 27.06.2007 11:59
программируемая линия задержки? KuklaVoda Микроконтроллеры, АЦП, память и т.д 0 08.09.2006 10:02


Часовой пояс GMT +4, время: 03:56.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot