Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 05.11.2009, 12:01  
rubel
Гражданин KAZUS.RU
 
Аватар для rubel
 
Регистрация: 24.11.2006
Адрес: ДНР
Сообщений: 612
Сказал спасибо: 553
Сказали Спасибо 314 раз(а) в 142 сообщении(ях)
rubel на пути к лучшему
По умолчанию Xilinx XC9536

Здравствуйте. Начал пробовать CPLD.Подскажите, пожалуйсто, как получить задержку входного сигнала на выходе по такой простой схемке(рисунок).Дело в том, что оптимизатор кода видимо просто игнорирует четное количество инверторов, и на выходе получаю задержку не 50нс, а всего лиш 10 нс, как если бы был установлен только один инвертор. Может как-то можно отключить его(оптимизатор)? Или тут не в нем дело?

(использую ISE 10.1)
Миниатюры:
Нажмите на изображение для увеличения
Название: CPLD.jpg
Просмотров: 70
Размер:	72.1 Кб
ID:	1780  
Реклама:
rubel вне форума  
Непрочитано 05.11.2009, 12:31  
Gnider
Почётный гражданин KAZUS.RU
 
Регистрация: 30.06.2005
Сообщений: 3,399
Сказал спасибо: 5
Сказали Спасибо 431 раз(а) в 306 сообщении(ях)
Gnider на пути к лучшему
По умолчанию Re: Xilinx XC9536

А чем у тебя тактируться инверторы?

Возьми 5 D-триггеров с клоком
Gnider вне форума  
Непрочитано 05.11.2009, 12:51  
rubel
Гражданин KAZUS.RU
 
Аватар для rubel
 
Регистрация: 24.11.2006
Адрес: ДНР
Сообщений: 612
Сказал спасибо: 553
Сказали Спасибо 314 раз(а) в 142 сообщении(ях)
rubel на пути к лучшему
По умолчанию Re: Xilinx XC9536

Сообщение от Gnider Посмотреть сообщение
А чем у тебя тактируться инверторы?

Возьми 5 D-триггеров с клоком
На вход подал 4МГц меандр, формирую шимом МК.
Щас попробуем триггеры...
rubel вне форума  
Непрочитано 05.11.2009, 17:09  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: Xilinx XC9536

Использовать логические элементы для формирования задержек внутри ПЛИС НЕЛЬЗЯ, т.к. производитель не гарантиррует стабильности этого параметра. Если нужно выполнить задержку - используйте регистры. При этом минимальная задержка составляет один такт. При работе с ПЛИС нужно стремиться использовать синхронные схемы., в этом случае можно добиться максимального быстродействия. Для Вашего случая возьмите тактовую частоту 100МГц и поставьте триггеры, как Вам уже советовали.

Последний раз редактировалось MisterDi; 05.11.2009 в 17:11.
MisterDi вне форума  
Непрочитано 06.11.2009, 10:54  
rubel
Гражданин KAZUS.RU
 
Аватар для rubel
 
Регистрация: 24.11.2006
Адрес: ДНР
Сообщений: 612
Сказал спасибо: 553
Сказали Спасибо 314 раз(а) в 142 сообщении(ях)
rubel на пути к лучшему
По умолчанию Re: Xilinx XC9536

Сообщение от MisterDi Посмотреть сообщение
Использовать логические элементы для формирования задержек внутри ПЛИС НЕЛЬЗЯ, т.к. производитель не гарантиррует стабильности этого параметра. Если нужно выполнить задержку - используйте регистры. При этом минимальная задержка составляет один такт. При работе с ПЛИС нужно стремиться использовать синхронные схемы., в этом случае можно добиться максимального быстродействия. Для Вашего случая возьмите тактовую частоту 100МГц и поставьте триггеры, как Вам уже советовали.
Я прекрасно понимаю что использую логические вентили немного не по назначению в данном случае. Но производитель гарантирует, что в данной серии задержка на каждом вентиле не выйдет за пределы [5;10] нс. Мне этого достаточно. У меня не какой-то сурьезный проект, а, так - для освоения и для
маленького макетика.То, что я описал на схеме - сделать получается, но только выводя каждый буфер на выводы CPLD.Мне это не очень по вкусу(думаю понятно почему). Как же все запихнуть вовонутрь?

Насчет триггеров: на них не смог собрать повторитель(а мне нужен только он - т.к. в итоге хочу получить удвоитель частоты)

И еще маленький вопросик: а можно подкинуть кварц к ножкам и через входной инвертор CPLD собрать тактовый генератор? бдет работать?

Последний раз редактировалось rubel; 06.11.2009 в 11:00.
rubel вне форума  
Непрочитано 06.11.2009, 10:58  
Gnider
Почётный гражданин KAZUS.RU
 
Регистрация: 30.06.2005
Сообщений: 3,399
Сказал спасибо: 5
Сказали Спасибо 431 раз(а) в 306 сообщении(ях)
Gnider на пути к лучшему
По умолчанию Re: Xilinx XC9536

Без триггеров не обойтись. Иначе у тебя чито комбинационная логика,которая не имеет задержек в идеале.
Gnider вне форума  
Непрочитано 06.11.2009, 10:58  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: Xilinx XC9536

Вам нужен набор задержанных сигналов 5*10нС или один сигнал с задержкой 50нС? Во втором случае поставьте Д-триггер и тактируйте его 20МГц. Без тактирования в ПЛИС работать практически нельзя, сильно много граблей
А собственно в чем задача? Может есть уже стандартное решение?

Последний раз редактировалось MisterDi; 06.11.2009 в 11:02.
MisterDi вне форума  
Непрочитано 06.11.2009, 16:29  
rubel
Гражданин KAZUS.RU
 
Аватар для rubel
 
Регистрация: 24.11.2006
Адрес: ДНР
Сообщений: 612
Сказал спасибо: 553
Сказали Спасибо 314 раз(а) в 142 сообщении(ях)
rubel на пути к лучшему
По умолчанию Re: Xilinx XC9536

Сообщение от MisterDi Посмотреть сообщение
Вам нужен набор задержанных сигналов 5*10нС или один сигнал с задержкой 50нС? Во втором случае поставьте Д-триггер и тактируйте его 20МГц. Без тактирования в ПЛИС работать практически нельзя, сильно много граблей
А собственно в чем задача? Может есть уже стандартное решение?
Впринципе нужна задержка от 10 до 50нс - я хотел варьировать ее уменьшением или увеличением количества буферов(перешивать плис когда необходимо). А в итоге хотел получить удвоитель частоты по схеме на рисунке. D-триггер тут не проходит, т. к. он сам должен тактироваться более высокой частотой для сдвига входного сигнала по времени...
Исходная схема удвоителя рядом на рисунке. я решил попробовать на плис(нашлась макетка).
Миниатюры:
Нажмите на изображение для увеличения
Название: 2X.jpg
Просмотров: 51
Размер:	66.3 Кб
ID:	1824   Нажмите на изображение для увеличения
Название: оригинал.jpg
Просмотров: 53
Размер:	35.4 Кб
ID:	1826  

Последний раз редактировалось rubel; 06.11.2009 в 16:36.
rubel вне форума  
Непрочитано 06.11.2009, 16:53  
Gnider
Почётный гражданин KAZUS.RU
 
Регистрация: 30.06.2005
Сообщений: 3,399
Сказал спасибо: 5
Сказали Спасибо 431 раз(а) в 306 сообщении(ях)
Gnider на пути к лучшему
По умолчанию Re: Xilinx XC9536

Для увеличения частоты в ПЛИС есть PLL. Без нее никак.
Gnider вне форума  
Непрочитано 06.11.2009, 17:59  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: Xilinx XC9536

Сообщение от rubel Посмотреть сообщение
И еще маленький вопросик: а можно подкинуть кварц к ножкам и через входной инвертор CPLD собрать тактовый генератор? бдет работать?
Увы, работать не будет. об этом прямо говорится во всех учебниках и AN'ах. PLL в 95-й серии нет, поэтому наверно прще будет взять одну ЛП5 и собрать на ней сразу и генератор и удвоитель. Но по уму правильнее будет поставить генератор на 100МГц и 5 триггеров. Тем более, что ХС9536 со спидгрейдом от 10 на этих частотах работают нормально. Вот http://www.edaboard.com/ftopic368546.html человек задал подобный вопрос и получил отрицательный ответ
MisterDi вне форума  
Сказали "Спасибо" MisterDi
rubel (08.11.2009)
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход


Часовой пояс GMT +4, время: 10:28.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot