Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 10.04.2010, 16:45  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию А по ПЛИС никто не хочет how-to замутить?

было бы интересно
Реклама:
spballiance вне форума  
Непрочитано 10.04.2010, 17:31  
Gnider
Почётный гражданин KAZUS.RU
 
Регистрация: 30.06.2005
Сообщений: 3,399
Сказал спасибо: 5
Сказали Спасибо 431 раз(а) в 306 сообщении(ях)
Gnider на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Я бы замутил. Только я не знаю что конкретно надо?
Gnider вне форума  
Непрочитано 10.04.2010, 17:39  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Gnider, дык с простых практических применений, неужели "в быту" не найдется примеров?
spballiance вне форума  
Непрочитано 10.04.2010, 17:46  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Предлагаю замутить осцил типа этого! http://www.ssalewski.de/DAD.html.en Всем миром, помолясь! Или чтото вроде того.
Uragan90 вне форума  
Непрочитано 10.04.2010, 17:53  
anton_1000
Прописка
 
Регистрация: 31.08.2008
Сообщений: 117
Сказал спасибо: 4
Сказали Спасибо 3 раз(а) в 3 сообщении(ях)
anton_1000 на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

а я предлагаю видеогенератор для VGA монитора "для чайников". что бы дёшево и возможно подключить к МК типа меги. что-то вроди видеокарты.
anton_1000 вне форума  
Непрочитано 10.04.2010, 17:59  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Ну тоже ничего! Но лучше уж тогда контроллер жк панели 480х640 чтоб можно со своей системой команд цеплять к мк!
Uragan90 вне форума  
Непрочитано 10.04.2010, 18:05  
Gnider
Почётный гражданин KAZUS.RU
 
Регистрация: 30.06.2005
Сообщений: 3,399
Сказал спасибо: 5
Сказали Спасибо 431 раз(а) в 306 сообщении(ях)
Gnider на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Ну опять разброд и шатание.. Одному одно,другому другое.

Самому помоему интереснее разбиратся.
Gnider вне форума  
Непрочитано 10.04.2010, 18:10  
Gnider
Почётный гражданин KAZUS.RU
 
Регистрация: 30.06.2005
Сообщений: 3,399
Сказал спасибо: 5
Сказали Спасибо 431 раз(а) в 306 сообщении(ях)
Gnider на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от Uragan90 Посмотреть сообщение
Предлагаю замутить осцил типа этого! http://www.ssalewski.de/DAD.html.en Всем миром, помолясь! Или чтото вроде того.
Проще купить
Gnider вне форума  
Непрочитано 10.04.2010, 18:17  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Если хотите изучить ПЛИС, я бы посоветовал:
1. Прочитать книгу Антонов А.П. Язык описания цифровых устройств AlteraHDL:
http://www.pcports.ru/Library.php

2. Скачать Quartus с сайта www.altera.com, для обучения вполне подойдёт Web Edition версия.

3. Попробовать сделать схему счётчика, чтобы моргал светодиодами, сначала в виде схемы, а затем на AHDL.
4. Промоделировать схему в Quartus.

5. Купить или собрать программатор USB-blaster:
http://www.mcu-cpld.narod.ru/Altera/USB-blaster.html

6. Купить или собрать макетку на основе ПЛИС семейства Cyclone II или MAX3000A, например EP2C5T144C8.

7. Проверить работоспособность счётчика на макетке.
8. Сделать ещё несколько тестовых проектов на свой вкус.

Можно также ещё пойти на курсы фирмы Altera:
http://www.iu3.ru/altera_cources/
Я заканчивал их несколько лет назад, сейчас работа с ПЛИС моя основная специальность.

9. После того как освоите выше перечисленные вещи можно приниматься за изучение Verilog/SystemVerilog или VHDL.

Последний раз редактировалось BSA-elec; 10.04.2010 в 18:27.
BSA-elec вне форума  
Эти 2 пользователя(ей) сказали Спасибо BSA-elec за это сообщение:
mrKirill (10.04.2010), overslon (10.04.2010)
Непрочитано 10.04.2010, 19:18  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от Gnider Посмотреть сообщение
Ну опять разброд и шатание.. Одному одно,другому другое.
с большого начинать не надо, я бы предпочел узнать именно про изящные решения на плисине каких-нибудь вещей
можно ведь с простого: счетчик + еще что-то на одном кристалле
spballiance вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 09:23.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot