Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 12.09.2011, 11:46  
serb-radio
Гражданин KAZUS.RU
 
Регистрация: 01.01.2007
Адрес: Таганрог
Сообщений: 571
Сказал спасибо: 26
Сказали Спасибо 213 раз(а) в 91 сообщении(ях)
serb-radio на пути к лучшему
По умолчанию Проблемка с переобозначением пинов в Cyclone

Доброго времени суток! Вот написал прогу для EP2C5, в симуляторе все работает (Timing), начинаю переобозначать выводы как мне удобно - провожу Timing анализ - нет сигнала, а в фанкшинал все работает по-прежнему, да! еще и PLL не работает(((. Скажите, пожалуйста, уважаемые знатоки, как нужно правильно пины выбирать или же оставлять как квартус по дефолту установил?
Реклама:
__________________
То, что нас не убивает - делает нас сильнее

Последний раз редактировалось serb-radio; 12.09.2011 в 11:59.
serb-radio вне форума  
Непрочитано 12.09.2011, 15:12  
Alecsej
Вид на жительство
 
Регистрация: 10.08.2005
Сообщений: 385
Сказал спасибо: 0
Сказали Спасибо 161 раз(а) в 140 сообщении(ях)
Alecsej на пути к лучшему
По умолчанию Re: Проблемка с переобозначением пинов в Cyclone

Для начала неплохо бы проект выложить.
Alecsej вне форума  
Непрочитано 12.09.2011, 15:19  
Aleksashka1
Прописка
 
Регистрация: 25.10.2008
Сообщений: 125
Сказал спасибо: 1
Сказали Спасибо 36 раз(а) в 26 сообщении(ях)
Aleksashka1 на пути к лучшему
По умолчанию Re: Проблемка с переобозначением пинов в Cyclone

Я делаю так как на рисунке. И после назначения пересобираю проект
Ремарка, картус не очень любит давать возможность назначить выводы для "неопределенной" микросхемы - укажите полную маркировку.
Надеюсь я правильно понял вопрос.
Миниатюры:
Нажмите на изображение для увеличения
Название: pin_qurtus.JPG
Просмотров: 48
Размер:	68.8 Кб
ID:	25918  
Aleksashka1 вне форума  
Непрочитано 12.09.2011, 15:25  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: Проблемка с переобозначением пинов в Cyclone

В Quartus-е есть такой инструмент Pin Planner, запускаете его и указываете для каждого вывода тот номер, который нужно. После этого перекомпилируете проект. Не забывайте, что в качестве тактового входа можно использовать не все выводы корпуса.
__________________
misterdi<@>i.ua
MisterDi вне форума  
Непрочитано 13.09.2011, 07:41  
serb-radio
Гражданин KAZUS.RU
 
Регистрация: 01.01.2007
Адрес: Таганрог
Сообщений: 571
Сказал спасибо: 26
Сказали Спасибо 213 раз(а) в 91 сообщении(ях)
serb-radio на пути к лучшему
По умолчанию Re: Проблемка с переобозначением пинов в Cyclone

Нашел в чем косяк, извините, что сбил с толку: ПЛЛ работает некорректно! (странно, вроде б проверял, в фанкшинал анализ - работает нормально!).

Вопросек немоного не по теме: фпга эту можно тактировать напрямую с генератора как обычную сплд? или только с помощью ПЛЛ, это раз! и ткните носом, где я неправильно законфиггил ПЛЛ? проектик прилагается (там согласованные фильтры, если что, делаю их впервые, так шо особо не ругайте, еси можно - дайте рекомендации)
Вложения:
Тип файла: rar UBW_demodulator.rar (777.9 Кб, 38 просмотров)
__________________
То, что нас не убивает - делает нас сильнее
serb-radio вне форума  
Непрочитано 13.09.2011, 13:01  
Alecsej
Вид на жительство
 
Регистрация: 10.08.2005
Сообщений: 385
Сказал спасибо: 0
Сказали Спасибо 161 раз(а) в 140 сообщении(ях)
Alecsej на пути к лучшему
По умолчанию Re: Проблемка с переобозначением пинов в Cyclone

Можно тактировать и внешним клоком.

В проекте не хватает файлов симуляции и PLL никак не обозначен.
Alecsej вне форума  
Непрочитано 16.09.2011, 12:16  
Pe3ucTop
Частый гость
 
Регистрация: 04.12.2009
Сообщений: 12
Сказал спасибо: 0
Сказали Спасибо 3 раз(а) в 3 сообщении(ях)
Pe3ucTop на пути к лучшему
По умолчанию Re: Проблемка с переобозначением пинов в Cyclone

Сообщение от serb-radio Посмотреть сообщение
...
Вопросек немоного не по теме: фпга эту можно тактировать напрямую с генератора как обычную сплд? или только с помощью ПЛЛ, это раз!
...
Хотел уточнить, что только PLL без внешнего генератора не работает.
Внешний генератор - должен быть хороший, FPGA чувствительна к помехам и нестабильности клока.
Pe3ucTop вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход


Часовой пояс GMT +4, время: 04:05.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot