Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 17.02.2013, 15:37  
Dimitrij
Временная регистрация
 
Регистрация: 26.02.2005
Сообщений: 78
Сказал спасибо: 15
Сказали Спасибо 16 раз(а) в 16 сообщении(ях)
Dimitrij на пути к лучшему
По умолчанию Вывод графических символов на LCD CVAVR

Вывожу символы на LCD:
PHP код:
write a byte to the LCD character generator or display RAM
void lcd_write_byte
(unsigned char addrunsigned char data);

// определение адресов символов вводимых ползователем
define_char(main0,0);
define_char(main1,1);
define_char(main2,2);
define_char(main3,3);
define_char(main4,4);
define_char(main5,5);
define_char(main6,6);
define_char(main7,7);

//определение нового типа данных
typedef unsigned char byte;

/// таблица для определения символа пользователя
flash byte main0[8]={       //20-30dB
0b0000000,
0b0000000,
0b0000000,
0b0000000,
0b0000000,
0b0000000,
0b0000000,
0b0011111},
          
main1[8]={       //30-40dB
0b0000000,
0b0000000,
0b0000000,
0b0000000,
0b0000000,
0b0000000,
0b0011111,
0b0011111},
          
main2[8]={       //40-50dB
0b0000000,
0b0000000,
0b0000000,
0b0000000,
0b0000000,
0b0011111,
0b0011111,
0b0011111},
          
main3[8]={      //50-60dB
0b0000000,
0b0000000,
0b0000000,
0b0000000,
0b0011111,
0b0011111,
0b0011111,
0b0011111},
          
main4[8]={      //60-70dB
0b0000000,
0b0000000,
0b0000000,
0b0011111,
0b0011111,
0b0011111,
0b0011111,
0b0011111},
          
main5[8]={      //70-80dB
0b0000000,
0b0000000,
0b0011111,
0b0011111,
0b0011111,
0b0011111,
0b0011111,
0b0011111},
          
main6[8]={      //80-90dB
0b0000000,
0b0011111,
0b0011111,
0b0011111,
0b0011111,
0b0011111,
0b0011111,
0b0011111},
          
main7[8]={      //90-110dB
0b0011111,
0b0011111,
0b0011111,
0b0011111,
0b0011111,
0b0011111,
0b0011111,
0b0011111};

// фуккция определения знаков ползователя
void define_char(byte flash *pc,byte char_code)
{
byte i,a;
a=(char_code‹‹3)|0x40;
for (
i=0i8i++) lcd_write_byte(a++,*pc++);

Все выводится правильно.
Но, если добавляю еще один символ по адресу:
PHP код:
define_char(main8,8);

          
main8[8]={      //Ant
0b0000000,
0b0000000,
0b0010101,
0b0001110,
0b0000100,
0b0000100,
0b0001110,
0b0011111}; 
то при выводе на LCD одного курсора (main0), выводится и символ Ant (main8).
Я что-то сделал не так, или больше 8-и адресов нельзя задавать?
Реклама:

Последний раз редактировалось Dimitrij; 17.02.2013 в 15:40.
Dimitrij вне форума  
Непрочитано 17.02.2013, 16:08  
jump
Почётный гражданин KAZUS.RU
 
Регистрация: 20.06.2006
Адрес: Украина, Запорожье
Сообщений: 7,984
Сказал спасибо: 0
Сказали Спасибо 4,943 раз(а) в 2,371 сообщении(ях)
jump на пути к лучшему
По умолчанию Re: Вывод графических символов на LCD CVAVR

Dimitrij,
а почитать ДШ на 44780/066 нет желания?
там ведь черным аглицким языком написано - 8 и ни фунта больше
jump вне форума  
Непрочитано 17.02.2013, 16:19  
Dimitrij
Временная регистрация
 
Регистрация: 26.02.2005
Сообщений: 78
Сказал спасибо: 15
Сказали Спасибо 16 раз(а) в 16 сообщении(ях)
Dimitrij на пути к лучшему
По умолчанию Re: Вывод графических символов на LCD CVAVR

Сообщение от jump Посмотреть сообщение
а почитать ДШ на 44780/066 нет желания?
Читал я про это и на черном русском, но на LCD вывожу то я один символ из 9-и заданных.
Значит я не так понял. Спасибо за разъяснения.
Dimitrij вне форума  
Непрочитано 17.02.2013, 16:47  
jump
Почётный гражданин KAZUS.RU
 
Регистрация: 20.06.2006
Адрес: Украина, Запорожье
Сообщений: 7,984
Сказал спасибо: 0
Сказали Спасибо 4,943 раз(а) в 2,371 сообщении(ях)
jump на пути к лучшему
По умолчанию Re: Вывод графических символов на LCD CVAVR

Dimitrij,
пользовательских символов - 8, но, если необходимо больше, то перепрограммируя ячейки, можно увеличить свыше 8 при условии, что на одном экране они не появятся, либо пробовать управлять перезагрузкой с очисткой экрана.
насчет записи символа //Ant - уже не помню, но там, кажется, кольцевой счетчик и запись 8-го символа стирает 0-й

вот еще рисовалка для них

Последний раз редактировалось jump; 17.02.2013 в 16:58.
jump вне форума  
Сказали "Спасибо" jump
Dimitrij (17.02.2013)
Непрочитано 17.02.2013, 16:57  
Dimitrij
Временная регистрация
 
Регистрация: 26.02.2005
Сообщений: 78
Сказал спасибо: 15
Сказали Спасибо 16 раз(а) в 16 сообщении(ях)
Dimitrij на пути к лучшему
По умолчанию Re: Вывод графических символов на LCD CVAVR

jump,
Не буду заморачиваться, тем более, что программирование только осваиваю.
Сократил выводимые символы до 8-и.
Спасибо за совет.
Dimitrij вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Вывод собственных символов на LCD olegdemkiv111 Песочница (вопросы новичков) 10 08.09.2012 16:51
Вывод на LCD sergx1300 Микроконтроллеры, АЦП, память и т.д 16 17.11.2010 00:34
Не отображается половина символов на LCD eez Микроконтроллеры, АЦП, память и т.д 5 21.03.2009 02:01
Вывод переменной на LCD DDimon Микроконтроллеры, АЦП, память и т.д 9 12.01.2009 22:36
LCD DEM16216 инвертный вывод символов ajborman Микроконтроллеры, АЦП, память и т.д 8 02.06.2007 00:00


Часовой пояс GMT +4, время: 07:16.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot