Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту


 
Опции темы
Непрочитано 05.05.2024, 12:39  
Aleksandr52
Гражданин KAZUS.RU
 
Регистрация: 04.10.2023
Сообщений: 511
Сказал спасибо: 61
Сказали Спасибо 48 раз(а) в 45 сообщении(ях)
Aleksandr52 на пути к лучшему
По умолчанию Re: Общие вопросы по программе Multisim

Сообщение от andries5 Посмотреть сообщение
Aleksandr52,
Совершенно верно.

Старается найти негатив в МС. У него большая антипатия к МС. Но при этом получается, что он им пользуется постоянно! Как-то странно даже.
Да вы правы. Есть такой склад идиотов. Он сначало начал сравнивать программы с мс. Потом перешел на скорости моделирования. А потом дошел что все модели в мс негодные. Но обращать внимания на этого идиота не стоит. Пусть побеситься. Таких надо давно в белоруси в психушки отправлять а их батька не лечит.
Вот еще схема вывода нужного числа импульсов. Задаем на входе число
в двоичном коде. Далее включаем моделирование. Количество импульсов
выводиться на светодиодную линейку справа. За счет ключа по установкам программа сама выведет нужное колл. импульсов. Но только один раз в начале моделирования. Просто выключаем моделирование и ставим другое число импульсов и опять включаем.
Миниатюры:
Нажмите на изображение для увеличения
Название: 2024-05-05_112744.jpg
Просмотров: 0
Размер:	245.0 Кб
ID:	181627  
Реклама:

Последний раз редактировалось Aleksandr52; 05.05.2024 в 12:46.
Aleksandr52 вне форума  
Непрочитано 05.05.2024, 14:11  
bordodynov
Почётный гражданин KAZUS.RU
 
Регистрация: 04.06.2007
Адрес: Минск, Беларусь
Сообщений: 7,662
Сказал спасибо: 735
Сказали Спасибо 3,837 раз(а) в 2,728 сообщении(ях)
bordodynov на пути к лучшему
По умолчанию

andries5,
вы нихрена не поняли. Я утверждал, что осциллограф не вывел импульсы на Q14.
А по поводу счётчика, я сделал модель счётчика сам. Вам что показать модель в verylog. Я могу сделать 14-и разрядный счётчик поставить выходные уровни 5 В и назвать CD4020 и что вы будете довольны. А вы знаете нутро 4020 в Мультисиме.
Вот кусочек:
.MODEL 4020B_5 d_chip ( behaviour= "
+;4020 14-STAGE BINARY COUNTER @5V
+/inputs MR ~CP
+/outputs O0 O3 O4 O5 O6 O7 O8 O9 O10 O11 O12 O13
+/clock ~CP - 12 1 2
+;MR ~CP FFFFFFFFFFFF O0 O3 O4 O5 O6 O7 O8 O9 O10 O11 O12 O13
+ X X XXXXXXXXXXXX F+0 F+1 F+2 F+3 F+4 F+5 F+6 F+7 F+8 F+9 F+10 F+11
+;MR ~CP FFFFFFFFFFFF O0 O O3 O4 O5 O6 O7 O8 O9 O10 O11 O12 O13
+ L X XXXXXXXXXXXX F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 F11
+ H X XXXXXXXXXXXX L L L L L L L L L L L L
+/TABLE 1
+;MR ~CP FFFFFFFFFFFF O0 O3 O4 O5 O6 O7 O8 O9 O10 O11 O12 O13
+ X X XXXXXXXXXXXX F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 F11
+/delay 24
.....
Чем это лучше чем в Qspice:
// Automatically generated .v file on Fri Feb 9 07:48:37 2024
//

module counter16_x1 ( clk, reset, q1, q2, q3, q4, q5, q6, q7, q8, q9, q10, q11, q12, q13, q14, q15, q16, q ) ;
// You will probably want to flush out the nature of these port declarations:
input reg clk;
input reg reset;
output reg q1, q2, q3, q4, q5, q6, q7, q8, q9, q10, q11, q12, q13, q14, q15, q16;

output reg [15:0] q;

// Implement the module here

always @(posedge clk)
begin
if (reset)

q ‹= 16'b0000000000000000;

else
q1 ‹= q[0];
q2 ‹= q[1];
q3 ‹= q[2];
q4 ‹= q[3];
q5 ‹= q[4];
q6 ‹= q[5];
q7 ‹= q[6];
q8 ‹= q[7];
q9 ‹= q[8];
q10 ‹= q[9];
q11 ‹= q[10];
q12 ‹= q[11];
q13 ‹= q[12];
q14 ‹= q[13];
q15 ‹= q[14];
q16 ‹= q[15];


q ‹= q + 16'b0000000000000001;

end

endmodule

Сообщение от andries5 Посмотреть сообщение
Старается найти негатив в МС. У него большая антипатия к МС. Но при этом получается, что он им пользуется постоянно! Как-то странно даже.
А как показать, что Мультисим говно с некоторыми тупыми пользователями. Я показываю на примерах. И в соответствующей теме много примеров слабости и ущербности Мультисима. Цифровик вообще не воспринимает временные диаграммы вне осциллографа и я дал пример где его подход не даёт результата. Я ж загрузил файл Мультисима. Посмотрите. Не нарушайте моё требование одновременно вывести на осцилограф Q1 и Q14. А цифровик всё в своём духе. Даёт картинку и не загружает файл Мультисима. Это что бы никто не мог воспользоваться его гениальными разработками. При этом его схемы ничего оригинального не имеют.
Так и его схема управления скоростью двигателя потенциометром. Он дал картинку неправильной схемы. А я заодно выяснил, что схема говно и имеет гигантские сквозные токи. Это следствие его малограмотности. А ему даже в голову не пришло их вывести на его любимый осциллограф. Цифровик, докажите, что это не так и что я вру!
А для расчётов схем я использую LTspice и Qspice.
Посмотрите тему Multisim vs LTspice и другие. Сравнение.
И опровергните.
По поводу моделей в Мультисиме. Я не утверждал, что в нём все модели говно, как писал цифровик. Некоторые модели я позаимствовал. Так я позаимствовал модель двигателя и в отличии от цифровика разобрался с параметрами. Цифровик туп, что бы в этом разобраться. Он уже не может учиться.

Последний раз редактировалось mike-y-k; 13.06.2024 в 02:54. Причина: 6.6
bordodynov вне форума  
Непрочитано 05.05.2024, 16:24  
andries5
Почётный гражданин KAZUS.RU
 
Регистрация: 19.02.2008
Сообщений: 1,795
Сказал спасибо: 124
Сказали Спасибо 602 раз(а) в 419 сообщении(ях)
andries5 на пути к лучшему
По умолчанию Re: Общие вопросы по программе Multisim

bordodynov, я и не считаю что МС идеальный симулятор. Пробовал запустить 4020 без осциллографа и получил ну очень медленный расчет. И в аналоговых схемах не очень точен. Но удобен в применении и имеет много моделей. И этим он мне нравится. Извините если что не так понял и написал.
andries5 вне форума  
Непрочитано 05.05.2024, 16:38  
bordodynov
Почётный гражданин KAZUS.RU
 
Регистрация: 04.06.2007
Адрес: Минск, Беларусь
Сообщений: 7,662
Сказал спасибо: 735
Сказали Спасибо 3,837 раз(а) в 2,728 сообщении(ях)
bordodynov на пути к лучшему
По умолчанию Re: Общие вопросы по программе Multisim

andries5,
Мультисим очень полезен для студентов, но не для серьёзных расчётов.
Когда то давно, цифровик погнал бочку на LTspice. Он утверждал, что это плохая программа. После этого я начал хаять Мультисим и как оказалось не зря.
bordodynov вне форума  
Непрочитано 05.05.2024, 16:57  
andries5
Почётный гражданин KAZUS.RU
 
Регистрация: 19.02.2008
Сообщений: 1,795
Сказал спасибо: 124
Сказали Спасибо 602 раз(а) в 419 сообщении(ях)
andries5 на пути к лучшему
По умолчанию Re: Общие вопросы по программе Multisim

Сообщение от bordodynov Посмотреть сообщение
Мультисим очень полезен для студентов, но не для серьёзных расчётов.
Верно. Для серьёзных расчётов в железе, отдельными узлами. Но оперативно просмотреть схему и посмотреть токи в узлах и напряжения в точках самое то.
andries5 вне форума  
Непрочитано 10.05.2024, 04:16  
Инн
Почётный гражданин KAZUS.RU
 
Аватар для Инн
 
Регистрация: 03.05.2012
Сообщений: 1,447
Сказал спасибо: 1,039
Сказали Спасибо 2,031 раз(а) в 564 сообщении(ях)
Инн на пути к лучшему
По умолчанию Re: Общие вопросы по программе Multisim

У меня МС13 что-то стал показывать откровенную ахинею в простейших даже случаях (прилагаю, если кому-то интересно).

Не присоветуете ли, пожалуйста, как это поправить?
Поставить 14?
Переустановить эту?
Забить вообще насовсем?

На всякий случай: он у меня стоит в виртуальной машине VMWare.
Вложения:
Тип файла: rar Плавный пуск 1 MOSFET Linear RC 2.rar (1.36 Мб, 0 просмотров)
Инн вне форума  
Непрочитано 10.05.2024, 06:35  
bordodynov
Почётный гражданин KAZUS.RU
 
Регистрация: 04.06.2007
Адрес: Минск, Беларусь
Сообщений: 7,662
Сказал спасибо: 735
Сказали Спасибо 3,837 раз(а) в 2,728 сообщении(ях)
bordodynov на пути к лучшему
По умолчанию Re: Общие вопросы по программе Multisim

Инн,
я открыл схему в 14.1 и никаких артефактов не было.
Если вы можете, переустановите 13, предварительно почистив реестр.
Просто утверждалось, что 14 версия хуже. Не в плане возможностей.
bordodynov вне форума  
Сказали "Спасибо" bordodynov
Инн (10.05.2024)
Непрочитано 10.05.2024, 11:54  
Aleksandr52
Гражданин KAZUS.RU
 
Регистрация: 04.10.2023
Сообщений: 511
Сказал спасибо: 61
Сказали Спасибо 48 раз(а) в 45 сообщении(ях)
Aleksandr52 на пути к лучшему
По умолчанию

Инн,

У всех по разному работают эти 14 версии. По началу и у меня работали хорошо.
А потом поехало. То схемы с кварцами не дотягивает до нужной частоты стабилизации, то сейчас вообще нажимаешь например нужную библиотеку с моделью так он виснет на секунд 30. Потом открывается.
Вы же сами помните когда работали на ранних версиях программы при большом количестве пользователей этих проблем не было. И расчеты были нормальные - сходившиеся с железными.
Больше проблем было с моделями ОУ. Их много или просто не рабочих, ставишь например какой то ОУ и схема сразу уходит в откат.
Цифровые не большие схемы нормально моделировали. Там просто соблюдалось точная установка по питанию. И правильно нужно было их объединять в мс. Там на установках перед моделированием точно прописывалось или цифровая схема или аналоговая или смешанная. И мс. на это реагировал. В 14 версиях этого нет. Любое питание ставьте на цифру и она будет работать.
А вообще если правильно то и в железе есть аналоговое и цифровое питание. Были раздельные земли. В 14 этого нет.
Вы думаете почему этих версий 14 несколько. Да все потому что разработчики постепенно убирали эти глюки, но так и не убрали. Наверное в последней 14 меньше глюков. Не знаю.

Инн,

Скажите а что в предыдущем посту в вашей схеме в мс 13 вы хотели проверить.
Работу мосфета на индуктивность ?
У меня 13.01 отлично работает. Но в вашей схеме кошмар. И уж поверьте мне. Не на программу надо пенять а тот кто схемы рисует а программа их только обсчитывает. Иногда при небольших неправильных установках она идет на схождение. Выполняет 14 пунктов анализов моделирование схемы. Там все анализы прописываются по пунктам. Если не большие изменения то в конце пишет. Схождение выполнено успешно. Дает добро на моделирование.

Последний раз редактировалось mike-y-k; 13.06.2024 в 02:55. Причина: 6.6
Aleksandr52 вне форума  
Сказали "Спасибо" Aleksandr52
Инн (10.05.2024)
Непрочитано 10.05.2024, 18:25  
bordodynov
Почётный гражданин KAZUS.RU
 
Регистрация: 04.06.2007
Адрес: Минск, Беларусь
Сообщений: 7,662
Сказал спасибо: 735
Сказали Спасибо 3,837 раз(а) в 2,728 сообщении(ях)
bordodynov на пути к лучшему
По умолчанию Re: Общие вопросы по программе Multisim

Aleksandr52,
просто Мультисимы у вас капризные.
Я не вижу ничего плохого в схеме. Она должна считаться без проблем.
Вот я сделал расчёт в Qspice - никаких проблем. Время счёта на моём домашнем ноутбуке 0.38 сек. Средняя мощность на транзисторе 0.4 Вт.
Миниатюры:
Нажмите на изображение для увеличения
Название: mmmm.png
Просмотров: 0
Размер:	82.9 Кб
ID:	181747  
bordodynov вне форума  
Эти 2 пользователя(ей) сказали Спасибо bordodynov за это сообщение:
Aleksandr52 (11.05.2024), Инн (10.05.2024)
Непрочитано 10.05.2024, 20:43  
andries5
Почётный гражданин KAZUS.RU
 
Регистрация: 19.02.2008
Сообщений: 1,795
Сказал спасибо: 124
Сказали Спасибо 602 раз(а) в 419 сообщении(ях)
andries5 на пути к лучшему
По умолчанию Re: Общие вопросы по программе Multisim

Инн, у меня 14.3 и вроде ваша схема корректно показывает.
andries5 вне форума  
Сказали "Спасибо" andries5
Инн (10.05.2024)
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Proteus общие вопросы dosikus Proteus 3357 24.03.2024 12:27
Общие вопросы по импульсному преобразователю zoog Источники питания и свет 87 12.01.2013 17:53
Wiznet W7100. Общие вопросы R_N Микроконтроллеры, АЦП, память и т.д 7 06.06.2012 00:33
Система измерения температуры (на AD8495), вопросы по схеме и реализации spartakchamp Измерительное оборудование 2 15.11.2010 22:04


Часовой пояс GMT +4, время: 01:45.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot