Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 10.04.2010, 23:00  
niXto
Почётный гражданин KAZUS.RU
 
Аватар для niXto
 
Регистрация: 13.10.2007
Адрес: Беларусь
Сообщений: 8,048
Сказал спасибо: 60
Сказали Спасибо 3,954 раз(а) в 2,309 сообщении(ях)
niXto на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от Uragan90 Посмотреть сообщение
Ну думаю начну первым лигбез чайнику от чайника
Тоже уже есть http://radiokot.ru/start/mcu_fpga/altera/01/
Кстати по нему я учился - и выучился. Рекомендую всем. Программатор там правильный, в даташите с каким-то косяком, гдето ножки перепутаны - не помню уже, но заработал именно радиокотовский
Реклама:
niXto вне форума  
Непрочитано 10.04.2010, 23:04  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от niXto Посмотреть сообщение
Хотябы потому, что специалисты по ПЛИС сидят ТАМ а не тут
Ну, положим, они иногда сюда заходят Я, например, из-за того, что мне интересен Proteus. А вообще лучше всего пойти на www.electronix.ru, там есть отдельный подфорум по ПЛИС. Хотя лучше всего начать с чтения соответствующих книг, а на форуме задавать вопросы если что-то непонятно.
BSA-elec вне форума  
Непрочитано 10.04.2010, 23:07  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от Uragan90 Посмотреть сообщение
продолжение следует???
для начала тоже неплохо
spballiance вне форума  
Непрочитано 10.04.2010, 23:08  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от niXto Посмотреть сообщение
Тоже уже есть http://radiokot.ru/start/mcu_fpga/altera/01/
Кстати по нему я учился - и выучился. Рекомендую всем. Программатор там правильный, в даташите с каким-то косяком, гдето ножки перепутаны - не помню уже, но заработал именно радиокотовский
Маленькое замечание, MAX+Plus II уже морально устарел. Если захотите потом профессионально заниматься ПЛИС или использовать новые кристаллы придётся изучать Quartus (сейчас уже 9.1SP2 версия).
BSA-elec вне форума  
Непрочитано 10.04.2010, 23:13  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Товарищи супер.специалисты не пора ли вам пойти по выше перечисленным ссылкам где специалисты живут. Што вы все гоните нас колхозников кудато? Нас и здесь не плохо кормят!
Uragan90 вне форума  
Непрочитано 10.04.2010, 23:26  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от Uragan90 Посмотреть сообщение
Товарищи супер.специалисты не пора ли вам пойти по выше перечисленным ссылкам где специалисты живут. Што вы все гоните нас колхозников кудато? Нас и здесь не плохо кормят!
Зачем так сразу? Просто предлагаем свою помощь в изучении.
Вот, например, для начала:
Типы ПЛИС. Различие между CPLD и FPGA:
http://ru.wikipedia.org/wiki/%D0%9F%D0%9B%D0%98%D0%A1
http://www.sm.bmstu.ru/sm5/n4/oba/zan1.html
BSA-elec вне форума  
Непрочитано 10.04.2010, 23:29  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

BSA-elec, объяснять различие лучше не ссылками, а выборочным копипастом сюда с личными комментариями
spballiance вне форума  
Непрочитано 10.04.2010, 23:45  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Пара советов от чайника чайнику: Действительно хотите изучить ПЛИС? Не надо никаких курсов как уже советывали нам и вам, просто купите топовый чип подороже, ну сколько денег хватит. Этим вы уберете 2 зайца: 1) чип топовый и не скоро устареет, на долго хватит! 2) поймете что обратной дороги нет и надо учить зная сколько вы потратили денег на чип чтоб его потом положить в ящик с мусором? НЕТ!!!
Uragan90 вне форума  
Непрочитано 10.04.2010, 23:53  
st_1
Заблокирован
 
Регистрация: 26.12.2009
Сообщений: 3,124
Сказал спасибо: 116
Сказали Спасибо 867 раз(а) в 614 сообщении(ях)
st_1 на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от spballiance Посмотреть сообщение
объяснять различие лучше не ссылками, а выборочным копипастом сюда с личными комментариями
ИМХО, неправильное понимание отвечающих.
Никто не обязан разжёвывать и выкладывать именно здесь то, и в том виде, которое хочется вам, если с вами делятся какой-то информацией, то уважайте желание ответить и время отвечающих.
st_1 вне форума  
Непрочитано 11.04.2010, 00:00  
st_1
Заблокирован
 
Регистрация: 26.12.2009
Сообщений: 3,124
Сказал спасибо: 116
Сказали Спасибо 867 раз(а) в 614 сообщении(ях)
st_1 на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от Uragan90 Посмотреть сообщение
Пара советов от чайника чайнику: Действительно хотите изучить ПЛИС? Не надо никаких курсов как уже советывали нам и вам, просто купите топовый чип подороже, ну сколько денег хватит. Этим вы уберете 2 зайца: 1) чип топовый и не скоро устареет, на долго хватит! 2) поймете что обратной дороги нет и надо учить зная сколько вы потратили денег на чип чтоб его потом положить в ящик с мусором? НЕТ!!!
Скорее наоборот.
Взять что попроще, подешевле - выживет, всё равно куда-то пристроится, а нет - следующий.
st_1 вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 11:23.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot