Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 11.04.2010, 00:02  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от st_1 Посмотреть сообщение
Никто не обязан
читаем название топика, думаем перед след постом
Реклама:
spballiance вне форума  
Непрочитано 11.04.2010, 00:07  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от spballiance Посмотреть сообщение
BSA-elec, объяснять различие лучше не ссылками, а выборочным копипастом сюда с личными комментариями
ОК.
CPLD строятся на основе макроячеек (МЯ), а FPGA на основе Logic Element (LE).

Структура МЯ приведена на вложенном рисунке. Каждая МЯ состоит их матриц И и ИЛИ, а также триггера. Соединение матрицы И с входными сигналами можно программировать. Матрица ИЛИ как правило, фиксированная.

Структура LE показана на следующем рисунке. Он состоит из LUT, триггера и мультиплексора. LUT обычно 4 входовые. Фактически LUT представляет собой ОЗУ 16х1, в которое при конфигурировании FPGA записывается нужная нам таблица истинности, т.е. LUT используются для реализации логических функций (И, ИЛИ, НЕ и т.д.). Любое логическое выражение можно представить в виде таблицы истинности. При написании прошивки логические функции обычно пишутся в виде логических выражений, а компилятор (синтезатор) уже сам формирует нужную нам таблицу истинности. Триггер используется для реализации синхронных схем (счётчики, регистры, и т.д.). Ещё одним отличием FPGA от CPLD является наличие отдельных блоков для реализации памяти и умножителей. Также в FPGA почти всегда есть PLL для получения нужной тактовой частоты или даже сетки частот. В некоторых FPGA PLL можно переконфигурировать во время работы (т.е. динамически изменять тактовую частоту).

Ещё одним отличием FPGA от CPLD является то, что прошивка хранится в ОЗУ (SRAM). В CPLD она хранится в EEPROM. В FPGA каждый раз после включения питания прошивка должна загружаться из внешнего конфигурационного ПЗУ или с помощью микроконтроллера, или другого конфигурационного устройства.

Существуют также комбинированные микросхемы (MAX II фирмы Altera).
Структура у них такая же, как у FPGA, но они имеют уже встроенное конфигурационное ПЗУ.

Уфф. Устал писать.
Миниатюры:
Нажмите на изображение для увеличения
Название: МЯ.gif
Просмотров: 51
Размер:	15.0 Кб
ID:	6656   Нажмите на изображение для увеличения
Название: LE.gif
Просмотров: 53
Размер:	7.8 Кб
ID:	6657  
BSA-elec вне форума  
Сказали "Спасибо" BSA-elec
overslon (11.04.2010)
Непрочитано 11.04.2010, 00:13  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

BSA-elec,
микросхемы одноразовые или нет?
можно какой-нибудь пример с комментами? допустим двоичный счетчик и какую-нибудь микросхему 74 серии на одном кристалле?
spballiance вне форума  
Непрочитано 11.04.2010, 00:22  
Gnider
Почётный гражданин KAZUS.RU
 
Регистрация: 30.06.2005
Сообщений: 3,399
Сказал спасибо: 5
Сказали Спасибо 431 раз(а) в 306 сообщении(ях)
Gnider на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от spballiance Посмотреть сообщение
BSA-elec,
микросхемы одноразовые или нет?
можно какой-нибудь пример с комментами? допустим двоичный счетчик и какую-нибудь микросхему 74 серии на одном кристалле?
Ну вообще говоря БСА-элек не точно сказал но смысл правильный.

Есть ПЛИС со встроенной флэш. Они при включении питания сами конфигурируются. Есть которые нужно конфигурировать из внешней флэш,таких большинство.
Все они многоразовые. Одноразовые редкость но тоже есть. Все как у МК
Gnider вне форума  
Непрочитано 11.04.2010, 00:24  
Gnider
Почётный гражданин KAZUS.RU
 
Регистрация: 30.06.2005
Сообщений: 3,399
Сказал спасибо: 5
Сказали Спасибо 431 раз(а) в 306 сообщении(ях)
Gnider на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Вот пример из головы счетчика

module counter(iClk,iRst,counter);

input iClk;
input iRst;
output [15:0]counter;

always (@negedge iClk)
begin
if (!iRst) counter‹=counter+1; else counter‹-0;
end

endmodule

Думаю все понятно.
Gnider вне форума  
Непрочитано 11.04.2010, 00:25  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от Uragan90 Посмотреть сообщение
просто купите топовый чип
Под топовый чип ещё надо будет сделать соответствующий источник питания и плата будет обязательно многослойная. Если хотите с пользой потратить много денег, купите Development Kit. Помимо самой микросхемы вы получите также набор периферии (кнопки, светодиоды, ЖКИ и т.д.) и программатор (как правило, он уже собран на самой плате). К киту также идёт диск с обучающими примерами.
http://www.altera.com/products/devki..._platforms.jsp
Купить можно в ЭФО www.efo.ru или Гамме www.icgamma.ru
BSA-elec вне форума  
Непрочитано 11.04.2010, 00:39  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Вот ещё пример параметризованного счётчика:
Код:
module test	// имя нашего модуля
#(
	parameter n = 100,		// Задание параметров
	parameter WIDTH = 8
)
(
	input clk,			// Объявление входного сигнала
	input reset_n,			// Объявление входного сигнала
	output reg [WIDTH-1:0] cnt	// Объявление выходного сигнала
// reg - объявление переменной
) ;

always @(posedge clk) begin	// "Список чувствительности", т.е. по изменению, какого сигнала происходят события внутри блока always
	if (!reset_n || (cnt == n)) cnt ‹= 0 ;
	else cnt ‹= cnt + 1'b1 ;
end

endmodule

Последний раз редактировалось BSA-elec; 11.04.2010 в 00:55.
BSA-elec вне форума  
Непрочитано 11.04.2010, 00:47  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

можете комменты к синтаксису давать? если несложно конечно
spballiance вне форума  
Непрочитано 11.04.2010, 00:57  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от spballiance Посмотреть сообщение
можете комменты к синтаксису давать? если несложно конечно
Добавил. + Схема после синтеза (RTL) и после упаковки (размещения в кристалле.)
Вложения:
Тип файла: pdf RTL Viewer.pdf (10.4 Кб, 57 просмотров)
Тип файла: pdf Technology Map Viewer - Post-Mapping.pdf (16.8 Кб, 144 просмотров)
BSA-elec вне форума  
Непрочитано 11.04.2010, 01:00  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

‹= это присвоение?

Последний раз редактировалось spballiance; 11.04.2010 в 01:32.
spballiance вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 11:21.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot