Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 11.04.2010, 01:21  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от spballiance Посмотреть сообщение
‹= это присвоение?
Да. Неблокирующее, т.е. при моделировании все сигналы с ‹= будут вычисляться одновременно. = является блокирующим присваиванием. При его использовании значения присваиваются последовательно в порядке написания, как в Си.
Пример.

У нас есть переменные A, B и C.
Допустим A=0, B=1, C=0

Для ‹=
A ‹= 1 ;
B ‹= A ;
C ‹= B ;
Результат: A=1, B=0, C=1.

Для =
A = 1 ;
B = A ;
C = B ;
Результат: A=1, B=1, C=1.

И ещё, не все конструкции языка Verilog синтезируются, некоторые используются только для моделирования.
Реклама:
BSA-elec вне форума  
Непрочитано 11.04.2010, 01:32  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

откопал свой диплом
было рассыпухой 2 шт cd4040 - 12разрядные двоичные счетчики
7406
7400
7408
74ls373 8 штук

реально такое уместить в кристалле?
spballiance вне форума  
Непрочитано 11.04.2010, 01:32  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от spballiance Посмотреть сообщение
откопал свой диплом
было рассыпухой 2 шт cd4040 - 12разрядные двоичные счетчики
7406
7400
7408
74ls373 8 штук

реально такое уместить в кристалле?
Да. В больших миллионвентильных кристаллах даже помещаются несколько микропроцессоров и контроллеров (DDR2, PCI-E и т.д.). Все зависит от конкретного кристалла. Для Вашей задачи я бы взял MAX II или Cyclone II. Кстати, при разработке проектов для ПЛИС, обычно, сначала делают прикидочный проект, а затем берут кристалл с запасом по ёмкости в 30-40%.

Последний раз редактировалось BSA-elec; 11.04.2010 в 01:42.
BSA-elec вне форума  
Непрочитано 11.04.2010, 01:41  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

BSA-elec, я к чему интересуюсь
сейчас ковыряю один проектик для души, вот и задумался убрать рассыпуху на кристалл, решил поднять эту тему с целью ликбеза и понимания того, что можно реализовать. и вот пока смутно осознаю границы применимости....
например в контроллерах есть ОУ, на плисе есть такое?
коммутация как на High Speed Buffer buf634u?
цап синуса на плисе?

очень бы хотелось измерительную рассыпуху собрать на кристалл и его связывать с авр. такое возможно?
spballiance вне форума  
Непрочитано 11.04.2010, 01:48  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от spballiance Посмотреть сообщение
BSA-elec, я к чему интересуюсь
сейчас ковыряю один проектик для души, вот и задумался убрать рассыпуху на кристалл, решил поднять эту тему с целью ликбеза и понимания того, что можно реализовать. и вот пока смутно осознаю границы применимости....
например в контроллерах есть ОУ, на плисе есть такое?
коммутация как на High Speed Buffer buf634u?
цап синуса на плисе?

очень бы хотелось измерительную рассыпуху собрать на кристалл и его связывать с авр. такое возможно?
В ПЛИС можно запихнуть только цифровую рассыпуху. Все аналоговые схемы придётся делать внешние. Если частота дискретизации не очень большая, то ЦАП можно сделать на основе ШИМ или сделать сигма-дельта ЦАП:
http://www.compitech.ru/html.cgi/arh...05/stat_56.htm

P.S. В EP2C8 и AVR спокойно влезет. Если взять с 6 градацией скорости, встроенный в ПЛИС контроллер будет работать на 50 МГц (Я запихивал в ПЛИС AVR в одном из своих проектов).

Последний раз редактировалось BSA-elec; 11.04.2010 в 02:10.
BSA-elec вне форума  
Эти 2 пользователя(ей) сказали Спасибо BSA-elec за это сообщение:
ish0 (11.04.2010), overslon (11.04.2010)
Непрочитано 11.04.2010, 11:29  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Забыл вчера дать ссылку на неплохой FAQ:
http://fpga-faq.narod.ru/
BSA-elec вне форума  
Непрочитано 11.04.2010, 14:29  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от BSA-elec Посмотреть сообщение
запихивал в ПЛИС AVR
в авре есть компаратор, как он на плисе реализован?
spballiance вне форума  
Непрочитано 11.04.2010, 15:00  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от spballiance Посмотреть сообщение
в авре есть компаратор, как он на плисе реализован?
Никак. Я написал, что реализовал AVR, т.е. ядро AVR контроллера, без периферии. Компаратор в микроконтроллере это периферийное устройство, к тому же аналогово-цифровое. Я же уже писал, что в ПЛИС можно реализовать только чисто цифровые устройства.
BSA-elec вне форума  
Непрочитано 11.04.2010, 16:35  
spballiance
Вид на жительство
 
Регистрация: 13.02.2010
Сообщений: 372
Сказал спасибо: 12
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
spballiance на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

хорошо, управляемый цап синуса как можно реализовать?
spballiance вне форума  
Непрочитано 11.04.2010, 20:00  
angen13
Заблокирован
 
Регистрация: 15.02.2010
Сообщений: 19
Сказал спасибо: 0
Сказали Спасибо 3 раз(а) в 3 сообщении(ях)
angen13 на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

С ПЛИС работаю впервые. В графическом редакторе MAX Plus. В языках VERILOG VHDL. не разбираюсь. Но уверен что вещь хорошая. Помогите пожалуйста кто может создать вот такой модуль-дешифратор 4х8 и так чтобы его можно было вставить в схему проекта(подсоединить к счётчику) если это реально.Заранее благодарен: angen13@yndex.ru



module ???

input [3;0]???

output[7;0] ???

???

always@???

begin

case???

4'b0000; ???= 8b00001000;

4'b0001; ???= 8b00001001;

4'b0010; ???= 8b00001010;

4'b0011; ???= 8b00010010;

4'b0100; ???= 8b00010011;

4'b0101; ???= 8b00010100;

4'b0110; ???= 8b00100011;

4'b0111; ???= 8b00100100;

4'b1000; ???= 8b00100101;

4'b1001; ???= 8b01000100;

4'b1010; ???= 8b01000101;

4'b1011; ???= 8b01000110;

4'b1100; ???= 8b10000110;

4'b1101; ???= 8b10000111;

endcase

end

endmodule
angen13 вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 11:20.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot