Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 11.07.2008, 08:15  
CERGEI1982
Почётный гражданин KAZUS.RU
 
Аватар для CERGEI1982
 
Регистрация: 03.01.2007
Адрес: Россия,Иркутская обл.
Сообщений: 2,579
Сказал спасибо: 351
Сказали Спасибо 315 раз(а) в 193 сообщении(ях)
CERGEI1982 на пути к лучшему
По умолчанию

maxNTF напиши что получется.Звук не отлаживал в протеусе,но думаю должен заработать.
Реклама:
CERGEI1982 вне форума  
Непрочитано 11.07.2008, 09:24  
genial
Вид на жительство
 
Регистрация: 02.02.2006
Сообщений: 472
Сказал спасибо: 2
Сказали Спасибо 12 раз(а) в 10 сообщении(ях)
genial на пути к лучшему
По умолчанию

В "РАДИО" №4 за 2001 г. есть статья " А. Долгий. Как записать в ПЗУ аудиоданные из WAV-файла и «проиграть» их".Там есть и преобразования и всякие тонкости со сдвигом частоты и формат WAVа и организация ШИМ вывода.
__________________
Наука умеет много гитик!
genial вне форума  
Непрочитано 11.07.2008, 10:42  
CERGEI1982
Почётный гражданин KAZUS.RU
 
Аватар для CERGEI1982
 
Регистрация: 03.01.2007
Адрес: Россия,Иркутская обл.
Сообщений: 2,579
Сказал спасибо: 351
Сказали Спасибо 315 раз(а) в 193 сообщении(ях)
CERGEI1982 на пути к лучшему
По умолчанию

Спасибо,сейчас прочтем
CERGEI1982 вне форума  
Непрочитано 11.07.2008, 14:24  
maxNTF
Вид на жительство
 
Регистрация: 18.06.2006
Сообщений: 434
Сказал спасибо: 0
Сказали Спасибо 12 раз(а) в 12 сообщении(ях)
maxNTF на пути к лучшему
По умолчанию

Я тут запутался совсем и появилось куча дурных вопросов:
1) В ПРТЕУСЕ поставил память 24LC512 (512Kb), при паузе смотрю внутреннюю память, максимальный адресс 0xFFFF. Тогда это получается 64Kb всего. Где остальные?
2) Записал в память 49Kb данных, по протоколу I2C (100кГц) они считываются по ПРОТЕУСУ ~32сек. Как я тогда их смогу вообще вывесть в качестве звука, если это нужно сделать за 5 сек(длительность ролика)?
maxNTF вне форума  
Непрочитано 11.07.2008, 15:06  
CERGEI1982
Почётный гражданин KAZUS.RU
 
Аватар для CERGEI1982
 
Регистрация: 03.01.2007
Адрес: Россия,Иркутская обл.
Сообщений: 2,579
Сказал спасибо: 351
Сказали Спасибо 315 раз(а) в 193 сообщении(ях)
CERGEI1982 на пути к лучшему
По умолчанию

Напиши мне в аську 427792719 ,попробуем вместе разобратся что и куда
CERGEI1982 вне форума  
Непрочитано 11.07.2008, 15:40  
Luzkov
Гражданин KAZUS.RU
 
Регистрация: 21.02.2005
Сообщений: 685
Сказал спасибо: 14
Сказали Спасибо 84 раз(а) в 44 сообщении(ях)
Luzkov на пути к лучшему
По умолчанию

Я предлгал такой вариант. Но если вас 8бит не устраивает и вы хотите из ПЗУ по 1биту выводить то это уже ШИМ с РЦ получится. И кодировать ВАВ тогда надо не в 8 бит а 1бит. Качество лучше чем "робот" и не ждите, прийдется увеличивать частоту дискретизации не мение 44кГц.

-- Прилагается рисунок: --
__________________
Нет ничего проще сложного и сложнее простого.
Luzkov вне форума  
Непрочитано 11.07.2008, 15:47  
maxNTF
Вид на жительство
 
Регистрация: 18.06.2006
Сообщений: 434
Сказал спасибо: 0
Сказали Спасибо 12 раз(а) в 12 сообщении(ях)
maxNTF на пути к лучшему
По умолчанию

Сообщение от Luzkov
Я предлгал такой вариант. Но если вас 8бит не устраивает и вы хотите из ПЗУ по 1биту выводить то это уже ШИМ с РЦ получится. И кодировать ВАВ тогда надо не в 8 бит а 1бит. Качество лучше чем "робот" и не ждите, прийдется увеличивать частоту дискретизации не мение 44кГц.
Я хочу сделать что то на подобе этого, сдесь ШИМ не нужен http://www.romanblack.com/picsound.htm
Просто с таким изобилием, проще действительно взять спец микросхемму типа ISD и все, но с ними много проблем, те которые я планировал использовать уже сняты с производства(ISD25xxx) а новые я даже и не знаю какие.

-- Прилагается рисунок: --
maxNTF вне форума  
Непрочитано 11.07.2008, 15:47  
CERGEI1982
Почётный гражданин KAZUS.RU
 
Аватар для CERGEI1982
 
Регистрация: 03.01.2007
Адрес: Россия,Иркутская обл.
Сообщений: 2,579
Сказал спасибо: 351
Сказали Спасибо 315 раз(а) в 193 сообщении(ях)
CERGEI1982 на пути к лучшему
По умолчанию

Сообщение от pomidor
2dosikus
цепляю
Может сразу и модельку выложите ATtiny2313 для протеуса? вижу что схема нарисована в протеусе.

Прикрепленный файл: 2606717.zip
CERGEI1982 вне форума  
Непрочитано 12.07.2008, 00:00  
Alex_Dmitriyeff
Прохожий
 
Регистрация: 15.12.2006
Сообщений: 6
Сказал спасибо: 0
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
Alex_Dmitriyeff на пути к лучшему
По умолчанию

http://www.sfcompiler.co.uk/wiki/pmw...SDMMCWavPlayer

Ыще одын вариант, если интересно.
И компилятор для 18 семейства
Alex_Dmitriyeff вне форума  
Непрочитано 12.07.2008, 00:28  
dosikus
Гуру портала
 
Аватар для dosikus
 
Регистрация: 20.11.2004
Сообщений: 10,015
Сказал спасибо: 936
Сказали Спасибо 2,269 раз(а) в 1,563 сообщении(ях)
dosikus на пути к лучшему
По умолчанию

Чего то мя от этой рыбы_меч отвернуло как увидел , что на визуал-барсике сделано...
__________________
Осторожно , злой кот
dosikus вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Распознавание речи vahoo Цифровые сигнальные процессоры 25 15.01.2011 15:17
Воспроизведение звука из симулятора мк. E_C_C Микроконтроллеры, АЦП, память и т.д 6 04.01.2009 20:20
Распознавание речи genial Цифровые сигнальные процессоры 0 20.11.2007 10:04
pic18.inc EVGENIY1962 Микроконтроллеры, АЦП, память и т.д 4 12.06.2007 07:49
Синтез речи, анализатор речи xelos Цифровые сигнальные процессоры 15 17.02.2005 11:18


Часовой пояс GMT +4, время: 13:21.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot