Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 12.04.2010, 08:26  
niXto
Почётный гражданин KAZUS.RU
 
Аватар для niXto
 
Регистрация: 13.10.2007
Адрес: Беларусь
Сообщений: 8,048
Сказал спасибо: 60
Сказали Спасибо 3,954 раз(а) в 2,309 сообщении(ях)
niXto на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от spballiance Посмотреть сообщение
управляемый цап синуса как можно реализовать
матрица R-2R
Реклама:
niXto вне форума  
Непрочитано 12.04.2010, 12:44  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от niXto Посмотреть сообщение
матрица R-2R
Уж лучше тогда поставить отдельную микросхему, меньше места занимать будет. Или сделать дельта-сигма ЦАП (см. вложение).
Вложения:
Тип файла: rar test_dsmod.rar (228.7 Кб, 29 просмотров)
Тип файла: pdf xapp154.pdf (53.6 Кб, 53 просмотров)
BSA-elec вне форума  
Непрочитано 12.04.2010, 13:03  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: а по ПЛИС никто не хочет how-to замутить?

Сообщение от angen13 Посмотреть сообщение
С ПЛИС работаю впервые. В графическом редакторе MAX Plus. В языках VERILOG VHDL. не разбираюсь. Но уверен что вещь хорошая. Помогите пожалуйста кто может создать вот такой модуль-дешифратор 4х8 и так чтобы его можно было вставить в схему проекта(подсоединить к счётчику) если это реально.Заранее благодарен
Где в MAX Plus не помню. Приведу скриншот для Quartus. Дешифратор во вложении. И вообще лучше всё-таки прочитать какую-нибудь книжку. Например, "Verilog-HDL для моделирования и синтеза цифровых электронных схем". Книжка не наша, но написана грамотно.
Миниатюры:
Нажмите на изображение для увеличения
Название: symbol.PNG
Просмотров: 45
Размер:	48.0 Кб
ID:	6691  
Вложения:
Тип файла: rar test.rar (214.5 Кб, 36 просмотров)
Тип файла: rar Verilog.rar (7.49 Мб, 50 просмотров)
BSA-elec вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 11:20.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot