Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 23.05.2009, 16:03  
uk8amk
Вид на жительство
 
Регистрация: 05.07.2006
Адрес: Tashkent
Сообщений: 454
Сказал спасибо: 24
Сказали Спасибо 67 раз(а) в 44 сообщении(ях)
uk8amk на пути к лучшему
По умолчанию SRAM 61256

Нужна помощь в понимании процесса записи и чтения чипа 61256(со старой материнки).
Итак, в соотвествии с ДШ на указанный чип от UTRON там есть 3 управляющих сигнала:
CE#=Chip Enable Inputs
WE#=Write Enable Inputs
OE#=Output Enable Inputs

Простой процесс чтения управляемый адресом у меня вроде не вызывает особых вопросов, т.е. выставляем WE#=H CE#= L OE#=L, подаем адрес и через некоторое время, необходимое на дешифрацию адреса считываем данные с выхода. Подали новый адрес(не трогаем управляющие сигналы) - считали новые данные. Так?

С записью больше вопросов. Возьмем цикл записи управляемый сигналом WE#. Там написано, что запись происходит когда сигналы CE# и WE# переходят в низкое состояние, либо одновременно, либо неодновременно но перекрывают друг друга. Будет ли происходить запись если сигнал CE# будет все время находиться в низком состоянии, а сигнал WE# будет тактировать запись(например запись большого блока данных.)?
Еще в ДШ указано что перед процессом записи на шине данных присутствуют выходные данные а шина переключается в третье состояние только в сам момент записи. Я так понимаю что если на микросхему постоянно подавать сигнал OE#=H, то чип все время будет находится в третьем состоянии и не станет выплевывать данные перед записью?

-- Прилагается рисунок: --


Прикрепленный файл: 2424640.pdf
Реклама:
uk8amk вне форума  
Непрочитано 26.05.2009, 13:54  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию

Цитата:
Я так понимаю что если на микросхему постоянно подавать сигнал OE#=H, то чип все время будет находится в третьем состоянии и не станет выплевывать данные перед записью?
Совершенно верно. Хочу также заметить, что МС кэша хорошо работают только при синхронном изменении адресных входов. Иначе из-за высокого быстродействия возможны ошибки записи.
MisterDi вне форума  
Непрочитано 26.05.2009, 15:22  
yarunt
Прописка
 
Регистрация: 11.07.2007
Сообщений: 127
Сказал спасибо: 8
Сказали Спасибо 24 раз(а) в 18 сообщении(ях)
yarunt на пути к лучшему
По умолчанию Re: SRAM 61256

Сообщение от uk8amk
Нужна помощь в понимании процесса записи и чтения чипа 61256(со старой материнки).
Итак, в соотвествии с ДШ на указанный чип от UTRON там есть 3 управляющих сигнала:
CE#=Chip Enable Inputs
WE#=Write Enable Inputs
OE#=Output Enable Inputs

Простой процесс чтения управляемый адресом у меня вроде не вызывает особых вопросов, т.е. выставляем WE#=H CE#= L OE#=L, подаем адрес и через некоторое время, необходимое на дешифрацию адреса считываем данные с выхода. Подали новый адрес(не трогаем управляющие сигналы) - считали новые данные. Так?

С записью больше вопросов. Возьмем цикл записи управляемый сигналом WE#. Там написано, что запись происходит когда сигналы CE# и WE# переходят в низкое состояние, либо одновременно, либо неодновременно но перекрывают друг друга. Будет ли происходить запись если сигнал CE# будет все время находиться в низком состоянии, а сигнал WE# будет тактировать запись(например запись большого блока данных.)?
Еще в ДШ указано что перед процессом записи на шине данных присутствуют выходные данные а шина переключается в третье состояние только в сам момент записи. Я так понимаю что если на микросхему постоянно подавать сигнал OE#=H, то чип все время будет находится в третьем состоянии и не станет выплевывать данные перед записью?
Как я знаю ОЕ переключает вход выход вобще от внутренней схемы не отключает.Еще очень важный параметр если срам 15нс то WE в низком уровне должен быть именно это время ,оно и определяющие, не меньше и менять адрес нельзя.Тоесть время WE,плюс время установки адреса делает скорость срам меньше.

-- Прилагается рисунок: --


Прикрепленный файл: 2424640.pdf
yarunt вне форума  
Непрочитано 29.05.2009, 21:09  
uk8amk
Вид на жительство
 
Регистрация: 05.07.2006
Адрес: Tashkent
Сообщений: 454
Сказал спасибо: 24
Сказали Спасибо 67 раз(а) в 44 сообщении(ях)
uk8amk на пути к лучшему
По умолчанию

Спасибо за ответы. Да, срам именно 15 наносекундная - UM61256. На нее ДШ найти не удалось поэтому пришлось пользоваться аналогичным от UTRON.
uk8amk вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
SRAM память w_f Микроконтроллеры, АЦП, память и т.д 4 03.12.2008 16:50
DES для AVR с SRAM 512 kb Savrik Микроконтроллеры, АЦП, память и т.д 0 14.08.2008 16:09
Разыскивается последовательная SRAM CD_Eater Микроконтроллеры, АЦП, память и т.д 0 07.08.2007 20:04
Запись из SRAM в EEPROM при PowerFail avrastorguev Микроконтроллеры, АЦП, память и т.д 4 11.08.2005 21:53
Посоветуйте какую SRAM лучше использовать CD_Eater Микроконтроллеры, АЦП, память и т.д 3 08.06.2004 07:57


Часовой пояс GMT +4, время: 23:24.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot