Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 12.05.2010, 21:14  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию ПЛИС "вопросы-ответы"

Вот какое дело. Я начинающий в ПЛИС, но насмотря на это "освоил" можно так сказать MAXII за несколько часов! И все мне столо ясно и понятно с этой прогой, но когда скачал Quartus понял что не могу даже элементарно просимулировать счетчик... Вопрос стоит так: есть ли у кого ссылочки или какая инфа на русском по этой программе типа "мануал для чайника"? Заранее благодарен!!!
Реклама:
Uragan90 вне форума  
Непрочитано 12.05.2010, 21:44  
avp94
Почётный гражданин KAZUS.RU
 
Аватар для avp94
 
Регистрация: 26.01.2007
Сообщений: 3,123
Сказал спасибо: 61
Сказали Спасибо 1,153 раз(а) в 624 сообщении(ях)
avp94 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Читаем раздел 3.5 и далее в книге КомоловД. Д., Мяльк Р. А., Зобенко А. А., Филиппов А, С.
Системы автоматизированного проектирования фирмы Altera MAX+plus II и Quartus II. Краткое описание и самоучитель. — М.: ИП РадиоСоФт 2002-352 с: ил. '
ISBN 5-93037-098-2
avp94 вне форума  
Сказали "Спасибо" avp94
Uragan90 (12.05.2010)
Непрочитано 12.05.2010, 22:41  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

В архиве приведены пошаговые скриншоты при создании и моделировании счётчика + тестовый проект в формате Quartus 9.1 (нормально открывается в 8.1, в более ранних не проверял, но вроде бы должен открываться).
Вложения:
Тип файла: rar test_cnt.rar (1.60 Мб, 276 просмотров)
BSA-elec вне форума  
Эти 2 пользователя(ей) сказали Спасибо BSA-elec за это сообщение:
Uragan90 (12.05.2010), wsn_ (04.03.2013)
Непрочитано 12.05.2010, 23:02  
Gordey
Прописка
 
Аватар для Gordey
 
Регистрация: 13.06.2006
Адрес: Санкт-Петербург
Сообщений: 289
Сказал спасибо: 5
Сказали Спасибо 52 раз(а) в 17 сообщении(ях)
Gordey на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Я тут понаписал всяких программ для ПЛИС Altera и Xilinx, промоделировал, всё замечательно, а потом когда пошел в магазин за этой самой ПЛИСиной и посмотрел на ценник, подумываю перейти обратно на рассыпную логику. Пусть будет 20 корпусов вместо одного, но в 5 раз дешевле.
Gordey вне форума  
Непрочитано 12.05.2010, 23:15  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Конечно слегка не в тему, но эти двадцать корпусов нужно где-то размещать, разводить проводники, паять. А это все время, которое - деньги. Ну и как пример. Удастся-ли на рассыпухе в домашних условиях собрать схему из 2-х 16-разрядных счетчиков + 6 регистров + 8-разрядный счетчик + мультиплексор 32*8 , и чтобы все это работало на частоте 66МГц, и потребляло 200мА вместе с 128кБайт статического ОЗУ? У меня это все великолепно работает. И все удовольствие - 12$ за один 100-выводный корпус. Было сделано 2 года назад. Сейчас тот же проект можно разместить в ИС за 5$. Может магазин другой поискать?
__________________
misterdi<@>i.ua

Последний раз редактировалось MisterDi; 12.05.2010 в 23:18.
MisterDi вне форума  
Непрочитано 12.05.2010, 23:15  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

BSA-elec, СПАСИБО!!!
Uragan90 вне форума  
Непрочитано 12.05.2010, 23:22  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Gordey, Так то оно так, но если в моём проекте предпологалсться использовать не 20, а 120 корпусов и размер с пачку сигарет как быть? Я уже не говорю сколько десятков ампер будут кушать эти корпуса если сделать на рассыпухе!
Uragan90 вне форума  
Непрочитано 12.05.2010, 23:30  
Gordey
Прописка
 
Аватар для Gordey
 
Регистрация: 13.06.2006
Адрес: Санкт-Петербург
Сообщений: 289
Сказал спасибо: 5
Сказали Спасибо 52 раз(а) в 17 сообщении(ях)
Gordey на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от MisterDi Посмотреть сообщение
Конечно слегка не в тему, но эти двадцать корпусов нужно где-то размещать, разводить проводники, паять. А это все время, которое - деньги. Ну и как пример. Удастся-ли на рассыпухе в домашних условиях собрать схему из 2-х 16-разрядных счетчиков + 6 регистров + 8-разрядный счетчик + мультиплексор 32*8 , и чтобы все это работало на частоте 66МГц, и потребляло 200мА вместе с 128кБайт статического ОЗУ? У меня это все великолепно работает. И все удовольствие - 12$ за один 100-выводный корпус. Было сделано 2 года назад. Сейчас тот же проект можно разместить в ИС за 5$. Может магазин другой поискать?
Алгоритм у меня несложный, но нужно много ножек. Минимально 144 выводной корпус. Как не пытался оптимизировать, меньше чем в 170 макроячеек запихнуть не удается. Пробежался по магазинам - меньше чем за 20$ CPLD такого объема не найти.
Gordey вне форума  
Непрочитано 12.05.2010, 23:36  
Gordey
Прописка
 
Аватар для Gordey
 
Регистрация: 13.06.2006
Адрес: Санкт-Петербург
Сообщений: 289
Сказал спасибо: 5
Сказали Спасибо 52 раз(а) в 17 сообщении(ях)
Gordey на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от Uragan90 Посмотреть сообщение
Gordey, Так то оно так, но если в моём проекте предпологалсться использовать не 20, а 120 корпусов и размер с пачку сигарет как быть? Я уже не говорю сколько десятков ампер будут кушать эти корпуса если сделать на рассыпухе!
)) Я же не агитирую всех переходить на рассыпуху, хотя в свое время много на ней наваял, в том числе больше 100 корпусов.
И жрало оно кстати не так уж много. Понятно, что есть проекты, где без современных ПЛИС и контроллеров уже никуда, более того даже в простых проектах я часто ставлю вместо нескольких микросхем логики простенький контроллер - и всё работает на ура. Но если это выгодно.
Если же есть альтернатива - можно почесать репу.
Gordey вне форума  
Непрочитано 12.05.2010, 23:46  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от Gordey Посмотреть сообщение
Пробежался по магазинам - меньше чем за 20$ CPLD такого объема не найти.
посмотрите в сторону МАХ-II самая мелкая - 240 макроячеек, цена в Украине около 5$. EPM570 - TQFP144 в BGA корпусах тоже есть.
__________________
misterdi<@>i.ua
MisterDi вне форума  
Сказали "Спасибо" MisterDi
Gordey (13.05.2010)
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
А по ПЛИС никто не хочет how-to замутить? spballiance Микроконтроллеры, АЦП, память и т.д 52 12.04.2010 13:03
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 07:22.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot