Вопрос по VHDL.
У меня установлен Xilinx ISE 10.1 (ОС Windows 7) с помощью хелпа, была написана программа счетчик, самая простая. Проверил синтаксис, всё как в хелпе, нажал проверить синтаксис – ошибок не найдено.
Потом создал Test Bench Waveform файлик, тоже настроил его как нужно, всё согласно мануалу. Нажимаю Simulate Beheviorial Model – не работает. Пишет ошибку:
ERROR: Signal Unknown signal received
Перепроверил – всё правильно, не могу понять, в чем ошибка. Порылся в Интернете – ошибка достаточно распространена, но нигде не написано как её устранить.
Студенты делали на лабораторной работе – всё получилось. А я уже 5ый раз переделываю и не понимаю в чем дело, откуда появилась эта конченая ошибка. Я все пункты по порядку делаю, но ничего не попадает.
В чем дело, как исправить ошибку? Заранее спасибо. С уважением Swordman.
Листинг программы:
Цитата:
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity counter is
Port ( CLOCK : in STD_LOGIC;
DIRECTION : in STD_LOGIC;
COUNT_OUT : out STD_LOGIC_VECTOR (3 downto 0));
end counter;
architecture Behavioral of counter is
signal count_int : std_logic_vector (3 downto 0) := "0000";
begin
process (CLOCK)
begin
if CLOCK='1' and CLOCK'event then
if DIRECTION='1' then
count_int ‹= count_int + 1;
else
count_int ‹= count_int - 1;
end if;
end if;
end process;
COUNT_OUT ‹= count_int;
end Behavioral;
|