Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 17.08.2010, 14:05  
Андрей К
Почётный гражданин KAZUS.RU
 
Аватар для Андрей К
 
Регистрация: 10.11.2009
Адрес: Свердловская область, г. Ирбит
Сообщений: 4,003
Сказал спасибо: 165
Сказали Спасибо 1,243 раз(а) в 733 сообщении(ях)
Андрей К на пути к лучшему
Вопрос Re: ПЛИС "вопросы-ответы"

Здравствуйте! Есть у меня такой вопрос: я, как уже ранее писал, неплохо знаком со схемотехническим вводом для создания проектов на ПЛИС CPLD Xilinx, не подскажете ли мне где найти информацию о соответствии элементов для составлении схемы в САПР Quartus и элементов для составления схемы в ISE Xilinx (так как в этой системе условные обозначения примитивных моделей довольно непонятно и часто возникают вопросы об их использовании), ну очень надо, а то столько интересных проектов сделано на семействах MAX3000 и MAX7000, хочется их безпрепятственно переварить под XC9500 и XC9500XL по причине большей доступности последних двух. С уважением Корчагин Андрей.
Реклама:

Последний раз редактировалось Андрей К; 17.08.2010 в 14:08.
Андрей К вне форума  
Непрочитано 22.08.2010, 22:40  
sdsrem
Почётный гражданин KAZUS.RU
 
Аватар для sdsrem
 
Регистрация: 08.09.2009
Сообщений: 1,139
Сказал спасибо: 138
Сказали Спасибо 366 раз(а) в 230 сообщении(ях)
sdsrem на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Доброго времени всем.
К вам есть вопрос.
Для общего развития решил поинтересоватся что такое ПЛИС, вроде вещь интересная, как я понял заместо нескольких логических микросхем можно поставить один корпус ПЛИС и сконфигурировать её внутриности как хочется, счётчики\трригеры\ ну и так далее.
Собственно вопрос, чем это дело програмировать, и какие книги почитать.
У себя в железках нашол одну микру называется EP1C6Q240C6 вроде то что надо.
Всем заранее спасибо.
sdsrem вне форума  
Непрочитано 10.09.2010, 21:58  
vgv5
Прописка
 
Аватар для vgv5
 
Регистрация: 13.12.2006
Адрес: Химки, МО
Сообщений: 117
Сказал спасибо: 32
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
vgv5 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от sdsrem Посмотреть сообщение
Собственно вопрос, чем это дело програмировать, и какие книги почитать.
Посмотрите здесь: http://marsohod.org/index.php/home - для начинающих самое то. Есть пять уроков Verilog - языка описания цифровых схем, три урока пошагового создания, компиляции, симуляции проекта, программирования чипа. Примеры проектов на ПЛИС и много других полезностей, в частности игрушек)). А программатор там - вообще зашибись! сами убедитесь..

Последний раз редактировалось vgv5; 10.09.2010 в 22:35.
vgv5 вне форума  
Сказали "Спасибо" vgv5
baiderin (11.09.2010)
Непрочитано 11.09.2010, 02:34  
sdsrem
Почётный гражданин KAZUS.RU
 
Аватар для sdsrem
 
Регистрация: 08.09.2009
Сообщений: 1,139
Сказал спасибо: 138
Сказали Спасибо 366 раз(а) в 230 сообщении(ях)
sdsrem на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от vgv5 Посмотреть сообщение
Посмотрите здесь: http://marsohod.org/index.php/home - для начинающих самое то. Есть пять уроков Verilog - языка описания цифровых схем, три урока пошагового создания, компиляции, симуляции проекта, программирования чипа. Примеры проектов на ПЛИС и много других полезностей, в частности игрушек)). А программатор там - вообще зашибись! сами убедитесь..
Спасибо за подсказку.
Но смущает большое количество ног, нет ли плис микросхем с малым количеством ног?
Собственно говоря смущает то что корпус большой а делать может мало, хотя в некоторых схемах видимо штука незаменимая.
sdsrem вне форума  
Непрочитано 11.09.2010, 11:23  
vgv5
Прописка
 
Аватар для vgv5
 
Регистрация: 13.12.2006
Адрес: Химки, МО
Сообщений: 117
Сказал спасибо: 32
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
vgv5 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от sdsrem Посмотреть сообщение
Но смущает большое количество ног, нет ли плис микросхем с малым количеством ног?
Конечно, есть. Например, ЕРМ3032ALC44, ЕРМ3064ALC44, 44 ножки, можно вставить в панельку PLCC, есть панельки со штырьками, есть планарные.Первая ПЛИС содержит 32 макроячейки, вторая - 64 (считай- 32-64 триггера, если синтезить счетчики). СтОит (в Харькове) ~ 1,8 - 2,2 USD. Вобщем даташиты с сайта Альтеры Вам в руки. Кстати, где-то когда-то находил на семейство МАХ3000 и на русском. Не помню где. Погуглите.
Удачи!
".....смущает то что корпус большой а делать может мало...." пусть Вас это не смущает)))) просто у ребят, наверное, много ЕРМ240... А "запихнуть" в 240 макроячеек можно много чего. Например, 5-6 электронных часов, 2-3 "крутых" частотомера на 8-9 разрядов, генератор с DDS//

Последний раз редактировалось vgv5; 11.09.2010 в 12:09.
vgv5 вне форума  
Непрочитано 11.09.2010, 11:46  
vgv5
Прописка
 
Аватар для vgv5
 
Регистрация: 13.12.2006
Адрес: Химки, МО
Сообщений: 117
Сказал спасибо: 32
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
vgv5 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от Андрей К Посмотреть сообщение
Здравствуйте! Есть у меня такой вопрос: я, как уже ранее писал, неплохо знаком со схемотехническим вводом для создания проектов на ПЛИС CPLD Xilinx, не подскажете ли мне где найти информацию о соответствии элементов для составлении схемы в САПР Quartus и элементов для составления схемы в ISE Xilinx (так как в этой системе условные обозначения примитивных моделей довольно непонятно и часто возникают вопросы об их использовании), ну очень надо, а то столько интересных проектов сделано на семействах MAX3000 и MAX7000, хочется их безпрепятственно переварить под XC9500 и XC9500XL по причине большей доступности последних двух. С уважением Корчагин Андрей.
Похоже Вам нужно изучать Квартус, имхо. Это сложная часть пути )). А потом перевести "альтеровский" проект на ксилинксовскую "основу" не составит труда. Это касаемо схем и модулей на языках VHDL и Verilog. Насчет AHDL не знаю, это "родной" альтеровский язык описания. Если ISE его не поддерживает, тогда прийдется изучать и AHDL, дабы конвертировать AHDL ›› VHDL или Verilog )))
vgv5 вне форума  
Непрочитано 11.09.2010, 14:48  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от vgv5 Посмотреть сообщение
прийдется изучать и AHDL, дабы конвертировать AHDL ›› VHDL или Verilog
Последние версии Квартуса работают и с Verilog и с VHDL.
__________________
misterdi<@>i.ua
MisterDi вне форума  
Непрочитано 11.09.2010, 16:27  
vgv5
Прописка
 
Аватар для vgv5
 
Регистрация: 13.12.2006
Адрес: Химки, МО
Сообщений: 117
Сказал спасибо: 32
Сказали Спасибо 15 раз(а) в 12 сообщении(ях)
vgv5 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от MisterDi Посмотреть сообщение
Последние версии Квартуса работают и с Verilog и с VHDL.
Да с ними работает и старый добрый МАХ+плюс 2.. Я имел ввиду, что не знаю: ISE Xilinx поддерживает или нет язык AHDL от Altera..(AHDL = Altera HDL). Если нет, то для перевода проектов из Quartus-а альтеры в ISE ксилинкса нужно переписать модули на AHDL на верилог или вхдл))

Последний раз редактировалось vgv5; 11.09.2010 в 18:33.
vgv5 вне форума  
Непрочитано 11.09.2010, 16:49  
Gnider
Почётный гражданин KAZUS.RU
 
Регистрация: 30.06.2005
Сообщений: 3,399
Сказал спасибо: 5
Сказали Спасибо 431 раз(а) в 306 сообщении(ях)
Gnider на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Quartus учить не надо в нем ничего делать не придеться. Только настрйоки самого камня.

Для написаня+симуляция лучше всего Active-HDL или ModelSim.
Для синтеза Synplicity
А Quartus только для реализации в камне+прожиг.
Gnider вне форума  
Непрочитано 11.09.2010, 16:56  
kison
Почётный гражданин KAZUS.RU
 
Регистрация: 13.12.2004
Сообщений: 3,172
Сказал спасибо: 11
Сказали Спасибо 692 раз(а) в 504 сообщении(ях)
kison на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от vgv5 Посмотреть сообщение
ISE Xilinx поддерживает или нет язык AHDL от Altera..
Не поддерживает. Так что надо переписывать. Впрочем проекты на AHDL редко бывают большими, так что можно просто ввести схемным вводом.
kison вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
А по ПЛИС никто не хочет how-to замутить? spballiance Микроконтроллеры, АЦП, память и т.д 52 12.04.2010 13:03
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 09:22.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot