Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 03.02.2011, 07:15  
pambaru
Почётный гражданин KAZUS.RU
 
Регистрация: 24.03.2007
Сообщений: 1,357
Сказал спасибо: 85
Сказали Спасибо 611 раз(а) в 370 сообщении(ях)
pambaru на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от LISS13 Посмотреть сообщение
И ещё: ведь если отойти от veriloga и писать, например, на AHDL или VHDL, то очень даже неплохо иметь предсмтавление как проект выглядит в железе. Ведь так?
Про VHDL не скажу, но поему там примерно как верилоге.
На AHDL писал когда-то, по сути текстовое описание схемы.
Но когда проекты стали сложными, пришлось уйти от AHDL к верилогу. Иначе трудозатратно очень.
А квартус может так оптимизировать проект, что конечная его реализация будет весьма отличаться от описанойй в AHDL или даже введенной в виде схемы.
Реклама:
pambaru вне форума  
Непрочитано 03.02.2011, 10:08  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от LISS13 Посмотреть сообщение
Сейчас пытаюсь разобраться как сделать счётчик с произвольным коэффициентом счёта. Застрял на картах Карно.
Самый простой вариант - синхронный двоичный счетчик с параллельной загрузкой, выход переполнения - на вход загрузки. Установкой кода на входах параллельной загрузки получаем любой возожный коэффициент. Мастером в Квартусе рисуется за 2 минуты. Недостатоток - смена коэффициента счета только по переполнению, но он решается.
__________________
misterdi<@>i.ua

Последний раз редактировалось MisterDi; 03.02.2011 в 10:12.
MisterDi вне форума  
Непрочитано 03.02.2011, 14:49  
pambaru
Почётный гражданин KAZUS.RU
 
Регистрация: 24.03.2007
Сообщений: 1,357
Сказал спасибо: 85
Сказали Спасибо 611 раз(а) в 370 сообщении(ях)
pambaru на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Счетчик с коэф. деления (div+1)*2
Не симулировал, но вроде так. Не ждет переполнения.
Из-за сравнения ›= быстродействие будет ниже.
Вникать, как это выглядит на логике и триггерах, я бы не стал

PHP код:
module cnt(clkrstdivout) ;
input wire clkrst;
input wire [7:0div;
reg [7:0cnt;
output reg out;

always @(posedge clk)
  
begin
  
if (rstbegin
           out
‹=0;
           
cnt‹=0;
           
end
  
else begin
        
if (cnt›=divbegin
                          out
‹=!out;
                          
cnt‹=0;
                          
end
         
else cnt‹=cnt+8'h01;
        end
  end

endmodule 
pambaru вне форума  
Сказали "Спасибо" pambaru
LISS13 (07.02.2011)
Непрочитано 06.02.2011, 17:29  
LISS13
Прохожий
 
Регистрация: 13.06.2010
Сообщений: 8
Сказал спасибо: 2
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
LISS13 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Спасибо всем, кто помогает!!!

Наконец-то разобрался с картами карно и решил графическим способом изобразить двоично-десятичный счётчик, который был разобран в этой статье:
http://pspicelib.narod.ru/10_Compone...chapter3/7.htm

Пересчитал всё изначально сам. С написанным в статье полученные результаты для ножек J и K JK-триггера совпали. Нашёл только одну незначащую для конечного рещультата опечатку в таблице. Рисую схему в схемном редакторе Квартуса. Задаю тактовую и запускаю временную симуляцию...

Вообщем, счётчик почему-то не работает =((( Вместо меандра имею крестики. Это, как я понимаю, не может определить значение выходов q1 - q4 =((

Не подскажете почему так??? Хотел попробовать реализовать эту схему на AHDL, но пока ещё в нём не разобрался (((

Последний раз редактировалось LISS13; 06.02.2011 в 17:32.
LISS13 вне форума  
Непрочитано 06.02.2011, 21:19  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

проект Квартуса можно дать? что-бы не плодить мне своих ошибок При компиляции предупреждения выдает?
__________________
misterdi<@>i.ua
MisterDi вне форума  
Непрочитано 06.02.2011, 22:04  
LISS13
Прохожий
 
Регистрация: 13.06.2010
Сообщений: 8
Сказал спасибо: 2
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
LISS13 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от MisterDi Посмотреть сообщение
проект Квартуса можно дать? что-бы не плодить мне своих ошибок При компиляции предупреждения выдает?
Да, конечно! Вот мой проект. Есть подозрение, что отсутствует высокий уровень на сбросе clrn триггеров.

А варнинги следующие:
Warning: Using design file counter.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info: Found entity 1: counter

Warning: Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details

Критикал варнинг:
Critical Warning: No exact pin location assignment(s) for 7 pins of 7 total pins
Info: Pin q1 not assigned to an exact location on the device
Info: Pin q2 not assigned to an exact location on the device
Info: Pin q3 not assigned to an exact location on the device
Info: Pin q4 not assigned to an exact location on the device
Info: Pin high_level not assigned to an exact location on the device
Info: Pin clk not assigned to an exact location on the device
Info: Pin clrn not assigned to an exact location on the device

Critical Warning: Synopsys Design Constraints File file not found: 'counter.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.

Critical Warning: Synopsys Design Constraints File file not found: 'counter.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.

Critical Warning: Timing requirements not met

Critical Warning: Timing requirements not met

Critical Warning: Timing requirements not met
Вложения:
Тип файла: rar counter.rar (1.07 Мб, 54 просмотров)
LISS13 вне форума  
Непрочитано 06.02.2011, 22:20  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

забыли указать уровень входного сигнала high_level. После добавления - все идет так как надо. А сейчас симулятор не может проанализировать состояние схемы.
__________________
misterdi<@>i.ua
MisterDi вне форума  
Сказали "Спасибо" MisterDi
LISS13 (07.02.2011)
Непрочитано 06.02.2011, 23:49  
LISS13
Прохожий
 
Регистрация: 13.06.2010
Сообщений: 8
Сказал спасибо: 2
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
LISS13 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от MisterDi Посмотреть сообщение
забыли указать уровень входного сигнала high_level. После добавления - все идет так как надо. А сейчас симулятор не может проанализировать состояние схемы.
Про прощения, за, наверное, идиотский вопрос, но как это делается в Квартусе?
LISS13 вне форума  
Непрочитано 07.02.2011, 00:16  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Отредактировать файл .vwf, добавив еще один сигнал. вкладка Files в менеджере проекта.
__________________
misterdi<@>i.ua
MisterDi вне форума  
Непрочитано 07.02.2011, 01:47  
LISS13
Прохожий
 
Регистрация: 13.06.2010
Сообщений: 8
Сказал спасибо: 2
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
LISS13 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от MisterDi Посмотреть сообщение
Отредактировать файл .vwf, добавив еще один сигнал. вкладка Files в менеджере проекта.
Действительно добавил сигнал high_level в симулятор, установил его в "1" и счётчик заработал. Спасибо за подсказку.

Не понятно остаётся почему в симулятор необходимо было добавлять ТОЛЬКО сигнал high_level и абсолютно не обязательно clrn...
LISS13 вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
А по ПЛИС никто не хочет how-to замутить? spballiance Микроконтроллеры, АЦП, память и т.д 52 12.04.2010 13:03
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 11:21.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot