Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 07.02.2011, 11:48  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Возможно потому, что для clrn есть значение по-умолчанию, а для high_level - нет.
Реклама:
__________________
misterdi<@>i.ua
MisterDi вне форума  
Непрочитано 07.02.2011, 13:15  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Здравствуйте! Есть пара вопросиков и у меня, помогите немного разобраться! Пытаюсь написать схему 8 битного, трех уровнего сдвигателя Тоесть есть у меня шина Data[7:0]- вход и сигнал load по положительному фронту которого данные должны задвигаться в выход Q1, следюющий фронт load из Q1 задвигает в выход Q2, а в Q1 записываются новые данные, затем в Q3 из Q2 и т.д. Я пытаюсь писать на "верилоге" но есть места где я запутался. Помогите разобраться в тех местах кода где стоят ??? и вообще

PHP код:
modul shift (DATA,clk,loadQ1Q2Q3);
input [8:0DATAclkload;
output [8:0Q1Q2Q3;
parameter B 3;
reg [8:0Q1Q2Q3;
always@(DATA)
begin
Q1 
DATA‹‹B;
Q2 DATA››B;
Q3 = ???????;
end
endmodule 
Миниатюры:
Нажмите на изображение для увеличения
Название: sheft0.gif
Просмотров: 58
Размер:	12.5 Кб
ID:	17920  
__________________
Я бы изменил весь мир, но бог не дал исходников

Последний раз редактировалось Uragan90; 07.02.2011 в 13:19.
Uragan90 вне форума  
Сказали "Спасибо" Uragan90
aniza (09.02.2011)
Непрочитано 07.02.2011, 16:28  
remrum
Частый гость
 
Регистрация: 11.02.2007
Сообщений: 20
Сказал спасибо: 4
Сказали Спасибо 16 раз(а) в 6 сообщении(ях)
remrum на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

module shift(DATA,load,Q1,Q2,Q3);

input [7:0] DATA;
input load;
output reg [7:0] Q1,Q2,Q3;

always @(posedge load)
begin
Q1 ‹= DATA;
Q2 ‹= Q1;
Q3 ‹= Q2;
end

endmodule
Миниатюры:
Нажмите на изображение для увеличения
Название: shift.PNG
Просмотров: 61
Размер:	5.3 Кб
ID:	17924  
remrum вне форума  
Эти 2 пользователя(ей) сказали Спасибо remrum за это сообщение:
aniza (09.02.2011), Uragan90 (07.02.2011)
Непрочитано 07.02.2011, 17:11  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

remrum, Спасибо огромное!!!! Действительно все получилось! Спасибо, еще раз!!!!!
__________________
Я бы изменил весь мир, но бог не дал исходников

Последний раз редактировалось Uragan90; 07.02.2011 в 17:15.
Uragan90 вне форума  
Сказали "Спасибо" Uragan90
aniza (09.02.2011)
Непрочитано 07.02.2011, 17:20  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Следует только учитывать, что непосредственное формирование такта влияет на общее быстродействие схемы. В одном из моих проектов аналогичный узел решен так:
Миниатюры:
Нажмите на изображение для увеличения
Название: 123.gif
Просмотров: 111
Размер:	15.9 Кб
ID:	17929  
__________________
misterdi<@>i.ua
MisterDi вне форума  
Эти 3 пользователя(ей) сказали Спасибо MisterDi за это сообщение:
aniza (09.02.2011), pinco (13.02.2011), Uragan90 (07.02.2011)
Непрочитано 07.02.2011, 18:54  
remrum
Частый гость
 
Регистрация: 11.02.2007
Сообщений: 20
Сказал спасибо: 4
Сказали Спасибо 16 раз(а) в 6 сообщении(ях)
remrum на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Синхронный дизайн стоит применять по возможности всегда,иначе
очень будет туго.Правильно сделать конечно всё через клок и применить сброс
или пред установку регистров,схемка как пример сути реализации.

несколько полезных ссылок :
http://www.asic-world.com/examples/verilog/index.html
http://hdlsnippets.com/node/5
http://www.fpga4fun.com/
http://www.marsohod.org/
скорее всего известных

Последний раз редактировалось remrum; 07.02.2011 в 18:58.
remrum вне форума  
Сказали "Спасибо" remrum
aniza (09.02.2011)
Непрочитано 09.02.2011, 00:00  
aniza
Временная регистрация
 
Аватар для aniza
 
Регистрация: 27.05.2009
Сообщений: 96
Сказал спасибо: 48
Сказали Спасибо 21 раз(а) в 14 сообщении(ях)
aniza на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Доброго времени суток.
Подскажите пожалуйста сверх экономичную ПЛИСку. Этот вопросс стал из-за крайне ограниченной энергии. (P.S питание системы подаётся по опто волокну)
aniza вне форума  
Непрочитано 09.02.2011, 00:54  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Все зависист от требуемого объема и производительности. Потребление в первую очередь определяется количеством и скоростью переключения вентилей. Так что если можно - ориентировочніе запросы по требуемому функционалу. Общая рекомендация - как можно более "свежие" кристаллы. Буржуи стремятся снижать энергопотребление.
__________________
misterdi<@>i.ua
MisterDi вне форума  
Сказали "Спасибо" MisterDi
aniza (12.02.2011)
Непрочитано 09.02.2011, 01:05  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

И ещё энергопотребление зависит от кол-ва используемых пинов камушка. Например в моём камне каждая ножка это 25ма!
__________________
Я бы изменил весь мир, но бог не дал исходников

Последний раз редактировалось Uragan90; 09.02.2011 в 12:45.
Uragan90 вне форума  
Сказали "Спасибо" Uragan90
aniza (12.02.2011)
Непрочитано 09.02.2011, 11:32  
avp94
Почётный гражданин KAZUS.RU
 
Аватар для avp94
 
Регистрация: 26.01.2007
Сообщений: 3,123
Сказал спасибо: 61
Сказали Спасибо 1,153 раз(а) в 624 сообщении(ях)
avp94 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от aniza Посмотреть сообщение
(P.S питание системы подаётся по опто волокну)
И какую мощность Вы планируете таким образом передать?
avp94 вне форума  
Сказали "Спасибо" avp94
aniza (12.02.2011)
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
А по ПЛИС никто не хочет how-to замутить? spballiance Микроконтроллеры, АЦП, память и т.д 52 12.04.2010 13:03
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 11:22.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot