Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 12.02.2011, 01:14  
aniza
Временная регистрация
 
Аватар для aniza
 
Регистрация: 27.05.2009
Сообщений: 96
Сказал спасибо: 48
Сказали Спасибо 21 раз(а) в 14 сообщении(ях)
aniza на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от avp94 Посмотреть сообщение
И какую мощность Вы планируете таким образом передать?
На сегодя уже передаём 3мВт, но приэтом мы ограничелись только элементарной логикой. Планируется передавать 5мВт или 10мВт,в зависимости какие лазеры найдут.
Реклама:
aniza вне форума  
Непрочитано 12.02.2011, 01:17  
aniza
Временная регистрация
 
Аватар для aniza
 
Регистрация: 27.05.2009
Сообщений: 96
Сказал спасибо: 48
Сказали Спасибо 21 раз(а) в 14 сообщении(ях)
aniza на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от BSA-elec Посмотреть сообщение
Если найдёте - расскажите.
обязательно поделюсь
aniza вне форума  
Непрочитано 12.02.2011, 01:24  
aniza
Временная регистрация
 
Аватар для aniza
 
Регистрация: 27.05.2009
Сообщений: 96
Сказал спасибо: 48
Сказали Спасибо 21 раз(а) в 14 сообщении(ях)
aniza на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от aniza Посмотреть сообщение
Доброго времени суток.
Подскажите пожалуйста сверх экономичную ПЛИСку. Этот вопросс стал из-за крайне ограниченной энергии. (P.S питание системы подаётся по опто волокну)
по данному вопросу нащол вот такую плиску ispMACH4000Z

ispMACH4000Z микро потребление.PDF
aniza вне форума  
Непрочитано 13.02.2011, 04:08  
LISS13
Прохожий
 
Регистрация: 13.06.2010
Сообщений: 8
Сказал спасибо: 2
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
LISS13 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Опять наткнулся на проблему: есть кварц 28 МГц от когорого тактируется ПЛИС, на выходе нужно получить частоту 50 кГц в форме меандра и точно такую же частоту, только в зависимости от команды сдвинутую на x/10 относительно длительности импульса исходного сигнала.
В итоге из 28 МГц я получаю 50 кГц, так же вывожу опоруную частоту 1 МГц в форме меандра (50 кГц * 20) относительно которой я буду осуществлять сдвиг и, соответственно саму сдвинутую по оси Х частоту 50 кГц.

Код:
// ----------------------------------------------------------------------------------------
// Из тактовой частоты 28 МГц получаем на выходе 2 частоты (50 кГц и 1 МГц) в форме меандра
// ----------------------------------------------------------------------------------------
module frequency_1
(
	clk,
	freq_1,
	freq_1_div_20,
	freq_1_shift
);

input clk;
output freq_1;
output freq_1_div_20;
output freq_1_shift;

reg [8:0] count_1 = 0;
reg out_1 = 0;
reg [3:0] count_2 = 0;
reg out_2 = 0;
reg [3:0] count_3 = 0;
reg out_3 = 0;

// ----------------------------------------------------------------------------------------
// Получение на выходе частоты 50 кГц в форме меандра [clk/560]
// ----------------------------------------------------------------------------------------
always @ (negedge clk)
	begin
		if (count_1 == 9'b1_0001_0111)
			begin
				count_1 ‹= 0;
				out_1 ‹= !out_1;
			end
		else count_1 ‹= count_1 + 1'b1;
	end

// ----------------------------------------------------------------------------------------
// Получение на выходе частоты 1 МГц в форме меандра [clk/28]
// ----------------------------------------------------------------------------------------
always @ (negedge clk)
	begin
		if (count_2 == 4'b1101)
			begin
				count_2 ‹= 0;
				out_2 ‹= !out_2;
			end
		else count_2 ‹= count_2 + 1'b1;
	end

// ----------------------------------------------------------------------------------------
// Получение на выходе частоты freq_1_shift с заданным сдвигом относительно freq_1
// ----------------------------------------------------------------------------------------
always @ (negedge freq_1_div_20)
	begin
		if (count_3 ‹ 4'b0010)
			out_3 ‹= !out_1;
		else if (count_3 == 4'b0010)
			out_3 ‹= out_1;
		else if (count_3 == 4'b1010)
			begin
				out_3 ‹= !out_1;
				count_3 ‹= 0;
			end
		else count_3 ‹= count_3 + 1'b1;
	end
		
// ----------------------------------------------------------------------------------------
assign freq_1 = out_1;
assign freq_1_div_20 = out_2;
assign freq_1_shift = out_3;

endmodule
К сожалению, сдвинутой частоты во временном анализе я не получаю ((((
Подскажите пожалуйста, что не так делаю...
LISS13 вне форума  
Непрочитано 13.02.2011, 13:38  
Aleksashka1
Прописка
 
Регистрация: 25.10.2008
Сообщений: 125
Сказал спасибо: 1
Сказали Спасибо 36 раз(а) в 26 сообщении(ях)
Aleksashka1 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

А может проще поставить N-е число регистров нагруженных на мультиплексор с таким же числом входов. Тогда можно будет исходный сигнал записывать в эти регистры, а командой выбора определять с какого отвода вывести.
Aleksashka1 вне форума  
Непрочитано 13.02.2011, 14:22  
LISS13
Прохожий
 
Регистрация: 13.06.2010
Сообщений: 8
Сказал спасибо: 2
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
LISS13 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от Aleksashka1 Посмотреть сообщение
А может проще поставить N-е число регистров нагруженных на мультиплексор с таким же числом входов. Тогда можно будет исходный сигнал записывать в эти регистры, а командой выбора определять с какого отвода вывести.
Мне давали такой совет. Реализацию в схемном редакторе я более менее представляю, а вот программную реализацию очень смутно
LISS13 вне форума  
Непрочитано 13.02.2011, 16:04  
Wladimip_P
Прописка
 
Аватар для Wladimip_P
 
Регистрация: 26.11.2009
Сообщений: 139
Сказал спасибо: 4
Сказали Спасибо 24 раз(а) в 16 сообщении(ях)
Wladimip_P на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

С предыдущей работы(игровые автоматы) осталась кучка ATF16V8B, подскажите применение, среду разработки, программатор.
Спасибо.
Wladimip_P вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
А по ПЛИС никто не хочет how-to замутить? spballiance Микроконтроллеры, АЦП, память и т.д 52 12.04.2010 13:03
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 09:20.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot