Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 13.05.2010, 11:58  
OlegNZH
Почётный гражданин KAZUS.RU
 
Регистрация: 28.02.2010
Сообщений: 2,297
Сказал спасибо: 53
Сказали Спасибо 461 раз(а) в 392 сообщении(ях)
OlegNZH на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от mrKirill Посмотреть сообщение
Для этого есть оптимизация
Кстати даже в инете наталкивался на некие программы оптимизаторы этих карт, но лично не смотрел, что сие за софтинки. Как-то по старинке раньше, листочек+карандашик
не ....это круто ...я- лет не 15 не встречал , кто-бы -так.......ладно...задача простая- (ну про книжку сказал- -про какую- пост на 5 выше наверное...) задача- к Автору Темы подключиться - его вопросы -и на них ответы услышать - я- сейчас - сродни ему ...тоже - начинающий....
Реклама:
OlegNZH вне форума  
Непрочитано 13.05.2010, 12:05  
OlegNZH
Почётный гражданин KAZUS.RU
 
Регистрация: 28.02.2010
Сообщений: 2,297
Сказал спасибо: 53
Сказали Спасибо 461 раз(а) в 392 сообщении(ях)
OlegNZH на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

могу , для затравки вопрос -есть компашка - там при покупке микросхем ....ну конечно ...дали каталог...диски понапихали ...каталоги......был диск МАX II - конечно , демо......1997 год....как вообще сейчас обстоит с этим?(этим- можно много чего подрузамевать...я- не буду ссылок просить....ломалось?простой вопрос)
OlegNZH вне форума  
Непрочитано 13.05.2010, 12:18  
OlegNZH
Почётный гражданин KAZUS.RU
 
Регистрация: 28.02.2010
Сообщений: 2,297
Сказал спасибо: 53
Сказали Спасибо 461 раз(а) в 392 сообщении(ях)
OlegNZH на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

наврал - это было в 2001 году .....диски PHOENIX , Altera , ELFA заодно.....по Альтерa-Library-2001 ....Phoenix- Eleсtronix Catalog.2000.1 никому не нужно? я их просто так - понятно- это я- как-бы Windows 3.1 предложил.....

Последний раз редактировалось OlegNZH; 13.05.2010 в 12:21. Причина: с- поменял
OlegNZH вне форума  
Непрочитано 13.05.2010, 12:21  
sl_64
Частый гость
 
Регистрация: 16.06.2005
Сообщений: 47
Сказал спасибо: 4
Сказали Спасибо 4 раз(а) в 4 сообщении(ях)
sl_64 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

вот ссылка на сайт по Верилогу может кому и пригодится
http://www.asic-world.com/verilog/index.html
sl_64 вне форума  
Сказали "Спасибо" sl_64
zvladm (06.06.2011)
Непрочитано 13.05.2010, 12:31  
OlegNZH
Почётный гражданин KAZUS.RU
 
Регистрация: 28.02.2010
Сообщений: 2,297
Сказал спасибо: 53
Сказали Спасибо 461 раз(а) в 392 сообщении(ях)
OlegNZH на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

это мои изыскания по журналу Схемотехника...вырезки.....
Вложения:
Тип файла: rar VHDL.RAR (995.3 Кб, 219 просмотров)
OlegNZH вне форума  
Эти 5 пользователя(ей) сказали Спасибо OlegNZH за это сообщение:
b_v_e (18.01.2011), grbizly (02.02.2011), pinco (13.02.2011), Uragan90 (13.05.2010), zvladm (06.06.2011)
Непрочитано 13.05.2010, 12:38  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Как моделировать понял! А как из нарисованной схемы счетчика сделать модель чтоб её можно было вставлять в свои проекты неоднократно?
Uragan90 вне форума  
Непрочитано 13.05.2010, 12:45  
OlegNZH
Почётный гражданин KAZUS.RU
 
Регистрация: 28.02.2010
Сообщений: 2,297
Сказал спасибо: 53
Сказали Спасибо 461 раз(а) в 392 сообщении(ях)
OlegNZH на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от Uragan90 Посмотреть сообщение
Как моделировать понял! А как из нарисованной схемы счетчика сделать модель чтоб её можно было вставлять в свои проекты неоднократно?
Гляньте пожалуйста на ссылку статей - я там вырезал- склеил - цикл статей.......ну-коряво получилось ...собирал по номерам ...там не всё гладко- может и пропущено......извиняйте..(прошу прощения- ВЫше- Rar) #25 пост

Последний раз редактировалось OlegNZH; 13.05.2010 в 12:51. Причина: орфография
OlegNZH вне форума  
Непрочитано 13.05.2010, 12:49  
Uragan90
Почётный гражданин KAZUS.RU
 
Аватар для Uragan90
 
Регистрация: 20.09.2009
Сообщений: 1,899
Сказал спасибо: 470
Сказали Спасибо 408 раз(а) в 255 сообщении(ях)
Uragan90 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

OlegNZH, Отдельное спасибо!
Uragan90 вне форума  
Непрочитано 13.05.2010, 13:06  
OlegNZH
Почётный гражданин KAZUS.RU
 
Регистрация: 28.02.2010
Сообщений: 2,297
Сказал спасибо: 53
Сказали Спасибо 461 раз(а) в 392 сообщении(ях)
OlegNZH на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Uragan90 (мы с Вами- начинающие) так,что Спасибо Вам - но,желательно ..продолжение истории
OlegNZH вне форума  
Непрочитано 13.05.2010, 13:10  
OlegNZH
Почётный гражданин KAZUS.RU
 
Регистрация: 28.02.2010
Сообщений: 2,297
Сказал спасибо: 53
Сказали Спасибо 461 раз(а) в 392 сообщении(ях)
OlegNZH на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

больше всего - боюсь- - скажут- купите Альтеру - и вперёд...так и будет ...тестировать нужно ..
OlegNZH вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
А по ПЛИС никто не хочет how-to замутить? spballiance Микроконтроллеры, АЦП, память и т.д 52 12.04.2010 13:03
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 09:23.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot