Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Микроконтроллеры, АЦП, память и т.д Темы касающиеся микроконтроллеров разных производителей, памяти, АЦП/ЦАП, периферийных модулей...

 
Опции темы
Непрочитано 14.05.2010, 14:10  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от Gnider Посмотреть сообщение
МАХ-II - тупиковая ветвь. Уже устарела и дорогая.
Позволю себе не согласится. Для каждого класса задач - своя элементная база. FPGA хорошо реализуют вычислительные алгоритмы и передачу данных, но требуют для конфигурации дополнительного оборудования и времени. Стоимость их тоже не маленькая. CPLD - очень хорошо работают с жесткой логикой и простыми сигналами. Обеспечивая готовность к работе после включения за время, гораздо меньше, чем FPGA. Обладая относительно малой логической емкостью, они соответсвенно имеют кристалл меньшего размера, и как следствие существенно дешевле. ИМХО основная ниша CPLD - замена дискретных логических ИС.
Реклама:
__________________
misterdi<@>i.ua
MisterDi вне форума  
Непрочитано 14.05.2010, 16:38  
BSA-elec
Прописка
 
Регистрация: 26.08.2005
Сообщений: 160
Сказал спасибо: 1
Сказали Спасибо 43 раз(а) в 38 сообщении(ях)
BSA-elec на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от Gnider Посмотреть сообщение
МАХ-II - тупиковая ветвь. Уже устарела и дорогая.
А что вы скажете насчёт MAX-IIG и MAX-IIZ?
И приведите, пожалуйста, пример новой и недорогой ПЛИС.
Вот MAX7000, те действительно устарели.
BSA-elec вне форума  
Непрочитано 14.05.2010, 20:43  
OlegNZH
Почётный гражданин KAZUS.RU
 
Регистрация: 28.02.2010
Сообщений: 2,297
Сказал спасибо: 53
Сказали Спасибо 461 раз(а) в 392 сообщении(ях)
OlegNZH на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от mrKirill Посмотреть сообщение
Манера написания сообщений у Вас, конечно, не самый смак. В чем проблема использовать в сообщениях Enter и "Пробел"? А не лепить все в одну строку с множеством скобочек, символов "-" и многоточий?
каюсь - от фидо не отвык......бывали там?
OlegNZH вне форума  
Непрочитано 14.05.2010, 21:40  
mrKirill
Вид на жительство
 
Аватар для mrKirill
 
Регистрация: 12.04.2007
Сообщений: 348
Сказал спасибо: 2
Сказали Спасибо 36 раз(а) в 32 сообщении(ях)
mrKirill на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от OlegNZH Посмотреть сообщение
каюсь - от фидо не отвык......бывали там?
Было дело, давным давно

PS. Офф-топим
__________________
Гидравлика + электроника = POWER
mrKirill вне форума  
Непрочитано 15.05.2010, 08:19  
ОКТОГЕН
Частый гость
 
Регистрация: 09.12.2009
Сообщений: 45
Сказал спасибо: 4
Сказали Спасибо 3 раз(а) в 3 сообщении(ях)
ОКТОГЕН на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

а какую вы плис посоветуете для начинающих из ALTERA
ОКТОГЕН вне форума  
Непрочитано 15.05.2010, 12:03  
MisterDi
Почётный гражданин KAZUS.RU
 
Аватар для MisterDi
 
Регистрация: 13.02.2008
Адрес: Днепр. Украина
Сообщений: 3,294
Сказал спасибо: 442
Сказали Спасибо 1,048 раз(а) в 706 сообщении(ях)
MisterDi на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Смотря для каких задач. Если работаете с простой логикой, то МАХ-2, МАХ3000. Если хотите сделать "систему на кристалле" с собственным ЦПУ, памятью и контроллерами - тогда Циклоны-1-2-3.
__________________
misterdi<@>i.ua
MisterDi вне форума  
Непрочитано 15.05.2010, 12:45  
sl_64
Частый гость
 
Регистрация: 16.06.2005
Сообщений: 47
Сказал спасибо: 4
Сказали Спасибо 4 раз(а) в 4 сообщении(ях)
sl_64 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сижу изучаю верилог, правда пока не очень то получается,(мой опыт в программировании пока =0)
Решил написать модуль делителя входной частоты , и вот что из этого получилось.
Допустим если мне входную частоту нужно поделить на 5, то приходится в "k" записать 4
и так для всех делителей коэффициент деления приходиться устанавливать на единицу меньше.
прошу совета что нужно изменить в этом блоке чтоб он заработал как надо????

[HTML]// делитель вх. частоты
//clk-входная частота, rst- сброс, k- коэффициент деления, out-выход
module div_f(clk, rst, k, out);

input clk, rst;
input [8:0] k;//задаю коэффициент деления
output out;


reg [8:0] count;
reg out;
//***********************************************
always @(posedge clk or posedge rst) begin

if (rst==1) begin
count ‹= 1'b0;
out ‹= 1'b1;
end

else if(count==0)begin
out ‹= 1'b0;
count ‹= count + 1;
end

else if(count==k)begin
out ‹= 1'b1;
count ‹= 1'b0;
end

else begin
count ‹= count+1;
end
end
//*************************************************
endmodule/HTML]
заранее всем откликнувшимся спасибо
sl_64 вне форума  
Непрочитано 15.05.2010, 14:27  
ифкин67
Частый гость
 
Регистрация: 14.09.2009
Сообщений: 21
Сказал спасибо: 2
Сказали Спасибо 5 раз(а) в 5 сообщении(ях)
ифкин67 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Мне кажется, вот так:

//clk-входная частота, rst- сброс, k- коэффициент деления, out-выход
module div_f(clk, rst, k, out);

input clk, rst;
input [8:0] k;//задаю коэффициент деления
output out;


reg [8:0] count;
wire comp= count== k;// компаратор
//***********************************************
always @(posedge clk or posedge rst) begin

if (rst or comp) count ‹= 9'h0;
else
count ‹= count + 9'h1;
end

assign out = comp;

//*************************************************
endmodule
ифкин67 вне форума  
Непрочитано 15.05.2010, 15:20  
sl_64
Частый гость
 
Регистрация: 16.06.2005
Сообщений: 47
Сказал спасибо: 4
Сказали Спасибо 4 раз(а) в 4 сообщении(ях)
sl_64 на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Спасибо ифкин67
но Quartus ругается на эту строку
if (rst or comp) count ‹= 9'h0;
что бы это значило????
sl_64 вне форума  
Непрочитано 15.05.2010, 16:29  
mrKirill
Вид на жительство
 
Аватар для mrKirill
 
Регистрация: 12.04.2007
Сообщений: 348
Сказал спасибо: 2
Сказали Спасибо 36 раз(а) в 32 сообщении(ях)
mrKirill на пути к лучшему
По умолчанию Re: ПЛИС "вопросы-ответы"

Сообщение от sl_64 Посмотреть сообщение
но Quartus ругается на эту строку
if (rst or comp) count ‹= 9'h0;
Как ругается то? У телепатов выходной.
__________________
Гидравлика + электроника = POWER
mrKirill вне форума  
 

Закладки
Опции темы

Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
А по ПЛИС никто не хочет how-to замутить? spballiance Микроконтроллеры, АЦП, память и т.д 52 12.04.2010 13:03
Посоветуйте вместительную ПЛИС alexey5634 TTL и CMOS логика 24 14.03.2010 14:30


Часовой пояс GMT +4, время: 09:23.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot