Реклама на сайте English version  DatasheetsDatasheets

KAZUS.RU - Электронный портал. Принципиальные схемы, Datasheets, Форум по электронике

Новости электроники Новости Литература, электронные книги Литература Документация, даташиты Документация Поиск даташитов (datasheets)Поиск PDF
  От производителей
Новости поставщиков
В мире электроники

  Сборник статей
Электронные книги
FAQ по электронике

  Datasheets
Поиск SMD
Он-лайн справочник

Принципиальные схемы Схемы Каталоги программ, сайтов Каталоги Общение, форум Общение Ваш аккаунтАккаунт
  Каталог схем
Избранные схемы
FAQ по электронике
  Программы
Каталог сайтов
Производители электроники
  Форумы по электронике
Помощь проекту

Proteus, KiCAD и другие ECAD Разработчик так или иначе сталкивается с системами автоматизированного проектирования. Данный раздел - по САПР.

Закрытая тема
Опции темы
Непрочитано 13.04.2010, 22:02   #1
Swordman85
Прохожий
 
Регистрация: 02.04.2007
Сообщений: 2
Сказал спасибо: 0
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
Swordman85 на пути к лучшему
По умолчанию Помогите разобраться с Xilinx IDE 10.1 простой вопрос

Вопрос по VHDL.
У меня установлен Xilinx ISE 10.1 (ОС Windows 7) с помощью хелпа, была написана программа счетчик, самая простая. Проверил синтаксис, всё как в хелпе, нажал проверить синтаксис – ошибок не найдено.
Потом создал Test Bench Waveform файлик, тоже настроил его как нужно, всё согласно мануалу. Нажимаю Simulate Beheviorial Model – не работает. Пишет ошибку:
ERROR: Signal Unknown signal received
Перепроверил – всё правильно, не могу понять, в чем ошибка. Порылся в Интернете – ошибка достаточно распространена, но нигде не написано как её устранить.
Студенты делали на лабораторной работе – всё получилось. А я уже 5ый раз переделываю и не понимаю в чем дело, откуда появилась эта конченая ошибка. Я все пункты по порядку делаю, но ничего не попадает.
В чем дело, как исправить ошибку? Заранее спасибо. С уважением Swordman.
Листинг программы:
Цитата:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity counter is
Port ( CLOCK : in STD_LOGIC;
DIRECTION : in STD_LOGIC;
COUNT_OUT : out STD_LOGIC_VECTOR (3 downto 0));
end counter;

architecture Behavioral of counter is

signal count_int : std_logic_vector (3 downto 0) := "0000";

begin
process (CLOCK)
begin
if CLOCK='1' and CLOCK'event then
if DIRECTION='1' then
count_int ‹= count_int + 1;
else
count_int ‹= count_int - 1;
end if;
end if;
end process;
COUNT_OUT ‹= count_int;
end Behavioral;
Swordman85 вне форума  
Непрочитано 19.04.2010, 19:13   #2
Swordman85
Прохожий
 
Регистрация: 02.04.2007
Сообщений: 2
Сказал спасибо: 0
Сказали Спасибо 0 раз(а) в 0 сообщении(ях)
Swordman85 на пути к лучшему
По умолчанию Re: Помогите разобраться с Xilinx IDE 10.1 простой вопрос

Переустановил ISE. Всё заработало.
Swordman85 вне форума  
Закрытая тема

Закладки


Ваши права в разделе
Вы не можете создавать новые темы
Вы не можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход

Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Помогите разобраться в схеме микросхемы koiah Электроника - это просто 85 14.05.2010 17:53
Блок питания 0....30В, помогите разобраться!! d.a.denisov Источники питания и свет 33 14.05.2010 09:23
Помогите разобраться с таймером begun Proteus 1 11.04.2010 19:07
Помогите разобраться с кодом Micro C for AVR deeman30rus Электроника - это просто 7 09.02.2010 11:46
Помогите, пожалуйста, разобраться в простейшей схеме.. Светлана Электроника - это просто 18 17.11.2009 01:10


Часовой пояс GMT +4, время: 06:36.


Powered by vBulletin® Version 3.8.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd. Перевод: zCarot